ADVANCED ESD PROTECTION

Size: px
Start display at page:

Download "ADVANCED ESD PROTECTION"

Transcription

1 ADVANCED ESD PROTECTION June 8, 21 Prof. Albert Wang Dept. of Electrical Engineering University of California 417 EBU2, Riverside, CA Tel: (951) Fax: (951) Lab: Copyright 29 by Albert Wang, All Rights Reserved

2 Outlines YOU ARE ENJOYING EDS-MEMBER BENEFITS! Basics on ESD Protection Mixed-Mode ESD Simulation-Design Method On-Chip ESD Protection Design Examples Summary 1

3 WHY JOIN? Technical events like this MQ! Global-Networking at home! Stay in touch with state-of-the-art t th t globally, ll Discounts for IEEE conferences and journals, etc. Chapter & local activities, Awards & Recognitions, (Early Career Award, etc.) PhD/MS Student Fellowship Awards, All for $12/$6 EDS Membership Fee! How to join? Ask Prof. J. Burghartz! 2

4 AMultiBillion Multi-Billion-$ Problem! ESD = Electrostatic Discharge Phenomena: huge I/V-pulses IC damages! A multi-billion-$ problem ESD failures 3%-5% IC failures A killing factor to time-to-market Informal ESD Failure Statistics on-chip ESD protection required!electrica... Fab 26% Assembly 14% Good 4%Ion 3% Unknown 15% Ref: L. Brown, et al, Electronic Packaging & Production, April 199. R. Merril, et al, EOS/ESD, ESD/EOS 37% 3

5 Old Devil Re-Appears 4

6 ESD Protection Mechanisms V DD Simple turn-on I-V, Snapback I-V. Protect EVERY I/O pad on chip! IN ND PD SD ND PD OUT PS PS DS NS NS I I 2 nd Breakdown (V t2, I t2 ) (ESD protection region) Low-R discharge (ESD protection region) Low-R discharge V SS Turn on (V t1, I t1, t 1 ) Holding (V h, I h ) Ti Triggeringi (V t1, I t1, t 1 ) V ESD-critical parameters are the KEY to ESD circuit design protection! Ref.: A. Wang, On-Chip ESD Protection for Integrated Circuits, Kluwer, ISBN: , 22. V 5

7 ESD Protection: Simple or Complex?! V DD V DD V SS A R 2 Q 1 I Dz D Z I/O ND V SS PD V SS Q 2 R ext K NS V SS PS V SS Ref: J. Chen, et al, IEEE IEDM Digest, 1995, pp ; Ker, et al, US Patent 5,572,394,

8 Moore s Law~ ESD Protection in CMOS 7 7

9 ESD Challenges for sub-9nm CMOS Reverse trend for <9nm CMOS? Narrower ESD design window? ESD Design Window 1% 1~ I 2% Failure (V t2, I t2 ) VDD V VDDmax V Discharging (R ON ) VSafe BV Holding (V h, I h ) Triggering (V t1,i t1, t 1 ) I DD V Ref.: L. Lin & A. Wang, et al, Proc. EOS/ESD Symp, pp.28-37, 29. 8

10 Emerging Challenges in ESD Design Design prediction by simulation Design optimization i by simulation 3D ESD protection device modeling Whole-chip ESD design theory and methodology CAD algorithm & tools for ESD synthesis and verification ESD protection circuitry for RF/AMS ICs RF-ESD co-design method ESD protection for nano technologies 9

11 Mixed-Mode Mode ESD Simulation-Design 2D/3D Mixed-Mode ESD Simulation-Design Methodology: Electro-thermal-process-device-circuit-layout coupling Static-transient ESD simulation ESD design optimization, no trial-and-error! and no over/under-design! Forward ESD design, not backward analysis! Compact ESD protection designs Minimize ESD-induced parasitic effects Explore novel ESD structures t Ref: A. Wang, et al, IEEE Trans Elec. Devs., v52, n7, p134, 25. H. Feng, et al, IEEE JSSC, v38, n6, p995, 23. H. Xie, MS Thesis, IIT, 24. 1

12 Mixed-Mode Mode ESD Design: Example 1 Chip-level l ESD circuit i design No-assumptions C s V HBM L s ESD source C C circuit (e.g., HBM model) R d C t ESD Circuit Core IC Chip ESD sub-circuit to be simulated 11

13 m p) C urre nt ( A Example-1: ggnmos ~ gcnmos ESD I( A ) E+ 2.E-7 4.E-7 6.E-7 8.E-7 1.E-6 1.E-6.2 Time (Second) V(v) 15 B S G Hot Spot D V ( V ) E-12 1.E-11 1.E-1 1.E-9 1.E-8 1.E-7 1.E-6 1.E-5 t(s) 12

14 Example-1: ggnmos ~ gcnmos ESD Id ( A ) Vd(V ) V g(v ) E E-12 1.E-1 1.E-8 1.E-6 Vd(V) log(t) (s) 25 2 To reduce triggering V t1 by design Tm ax(k) E-12 1.E-1 1.E-8 1.E-6 1.E-4 1.E-2 log(t) (s) 1.E-12 1.E-1 1.E-8 1.E-6 log(t) (s) 13

15 Example 2: ESD + RF tmaxm1 tmaxm2 tmaxesd RF output buffer block, Differential buffer with open collector, 7 5kV SCR ESD protection Tmax (K) 9 5 Out1 3.E+ 2.E-7 4.E-7 6.E-7 8.E-7 1.E-6 1.E-6 Time (s) In1 Out2 3. I-M1 In2 bias ES SD- S CR ES SD- S CR rent (A) Curr 2. I-ESD 1.. Ref: H. Feng, et al, IEEE JSSC, V38, N6, p995, 23..E+ 2.E-7 4.E-7 6.E-7 8.E-7 1.E-6 Time (s) 14

16 RF ESD Protection Design What s Unique for RF ESD protection?! RF IC is extremely sensitive to ESD-induced parasitics Need accurate RF ESD characterization Low-parasitic compact RF ESD protection design Whole-chip ESD protection circuit design concept New & Critical: ESD-Circuit Interactions ESD-to-Circuit Influences Circuit-to-ESD Influences RF+ESD co-design Ref: A. Wang, et al, invited, IEEE Proc. CICC, 22, pp A. Wang, et al, invited, Proc. IEEE RFIC

17 ESD Parasitics: C ESD Circuit performance may be affected by ESD circuitry: ESD-induced parasitic C ESD (up to ~pf) & R ESD, C ESD R ESD delay signal integrity, clock corruption, C ESD loading effect, Z-matching, power efficiency, i BW, C ESD, R ESD ~ frequency, biasing, temperature, Unique Challenge: Accurate C ESD estimation, Including C ESD in RF IC design, Reduce C ESD over f RF 16

18 ESD Parasitics: Noises Substrate noise coupling effect due to C ESD : Incident noises at I/O coupled into substrate, Substrate noises I/O signal path ESD self-generated noises: Thermal noises, Flicker noises, Shot noises, etc. I/O C ESD Unique Challenge: ESD noises into RF ICs. 17

19 Mixed-Signal ESD Protection No global ESD solutions! No one V t1 fits the whole chip! t1 f Multi-V DD /V SS locally-optimized V t1 for different I/Os, Need a safety margin for V t1 : V t1 of 5V fits V DD =3.3V blocks, V t1 of 23V good for V DD =15V blocks. Challenge 4: multi-v t1 ESD design in RF/AMS ICs whole-chip ESD design optimization, on-chip local ESD design optimization 18

20 Example-3: ESD-Protected RF IC Design ESD affects RF IC substantially: 5GHz LNA for dual-band WLAN transceiver CE-CB cascode topology High/low gain switching Unique double shutdown function.18 m SiGe BiCMOS 2KV ESD protection ESD GSG RFIN ESD GSG RF FOUT Ref.: G. Chen, et al, Proc. IEEE EMC,

21 Example-3: LNA Noise ~ ESD LNA circuits S 21 (db) S 11 (db) NF(dB) NF w/o ESD with ESD Degradation 16.73% 17.25% 6.8% NF (db) LNA w/ ESD LNA w/o ESD NF: ESD device F Total F ESD F G LNA ESD NF ESD.1 db Frequency (GHz) 2

22 Example-4: RF ESD Characterization Most commonly ESD protection structures ggmos SCR dscr Diode string: Dx1, Dx2, Dx3, Dx4, Dx5, Dxn Designed and fabricated in.35 m BiCMOS 2kV/5kV ESD protection Design optimization by mixed-mode mode ESD simulation Simulation matches measurement very well 21

23 Example-4: 2kV C ESD by SIM & Test CESD (pf) 1 Simulation.8 SCR ggnmos Dx1.6 Dx2 dscr.4.2 C ESD (pf F).8 SCR ggnmos Dx1.6 Dx2 Dx3 Dx4 Dx5 dscr f (GHz).2 Measurement f (GHz) 22

24 Example-4: 2kV C ESD by Test C ESD (pf) 1.1 SCR Dx1 Dx2 8.8 Dx3 Dx4 Dx5 6.6 dscr f (GHz)

25 Example-4: 2kV C ESD ~ Size 2kV Layout Size Comparison ESD 25 2 ize (um2) Layout S kV C ESD Comparison at 2.4GHz Dx1 Dx2 Dx3.45 Dx4 Dx5 ggnmos SCR dscr ESD Structures ESD (pf) CE Dx1 Dx2 Dx3 Dx4 Dx5 ggnmos SCR dscr ESD Structures 24

26 FoM for Overall ESD Design Evaluation Each parameter has different/conflicting meaning, Optimization by overall ESD design performance, Need a new FoM parameter: F-factor F kv 2 Size ( m ) CESD ( pf ) NF ( db ) 2kV F-Factor Comparison (Measured) 2 15 F-fac ctor 1 5 Dx1 Dx2 Dx3 Dx4 Dx5 ggnmos SCR dscr ESD Structures 25

27 Novel ESD Protection Design Helps V DD V DD IN ND PS PD NS V DD SD DS ND PD PS NS ND/PD OUT NS/PS DS ND/PD DS/SD NS/PS V SS V SS V SS SS SS 26

28 Example-6: All-Mode SCR ESD Protection P + N - A (ND) C K P P + C K N - A (PD) P + PW R w Q 6 3 P-well PW R w Q 5 2 P-well N-Epi Q 41 4 N-Epi 3 Q 41 C A 1 P + K N - A (PS) P + K 1 2 P + N - A (NS) K P + 2 PW R w Q 2 P-well PW R w Q 3 P-well N-Epi Q 1 Ref: A. Wang, et al, IEEE Electron Device Letters, Vol. 22, No. 1, pp , Oct. 21. A. Wang, US Patent # 6,635,931 B1, 23. N-Epi Q 1 27

29 Example-7: Low-Parasitic Poly-Si SCR ESD Cathode Anode Polysilicon S N + P + N + P + (N Not to Scale) N 5 P 4 I 3 N 2 P 1 R 2 Q 1 D Q 2 R 1 Field Oxide P-Substrate Xie, et al, A New Low-Parasitic Polysilicon SCR ESD Protection Structure for RF ICs, IEEE Electron Device Letters, Vol. 26, No.2, pp , February 25 28

30 Example-7: Excellent Prediction 3 35 m.35 m SiGe BiCMOS. 3.2kV HBM ESD protection level using a small 75 m2 poly-si SCR a high F-factor of 42 the lowest reported C ESD of ~92.3fF. Ajustable V t I (Anode) (A A) Simulation TLP Testing I (Anode) (A A) Poly Diode V (Anode) (V) Poly SCR_1 Poly SCR_2 Poly SCR_3 Poly SCR_ V (Anode) (V) 29

31 Summary ESD failure is a killing factor to ICs, On-chip ESD protection ti required for ICs, RF/AMS ESD design is very challenging, ESD design prediction by mixed-mode simulation 3

32 REFERENCES L. Lin, A. Wang, et al, Whole-Chip ESD Protection Design Verification by CAD, Proc. EOS/ESD Symp, pp.28-37, 29 X. Guan, et al, ESD-RFIC Co-Design Methodology, Invited, Proc. IEEE RFIC, pp467-47, 28. A. Wang, et al, A Review on RF ESD Protection Design, IEEE Trans. Electron Devices, V2, N7, p.134, July 25. H. Xie, et al, A New Low-Parasitic Polysilicon SCR ESD Protection Structure for RF ICs, IEEE Electron Device Letters, V26, N2, p.121, February 25. R. Zhan, et al, ESDInspector: A New Layout-level ESD Protection Circuitry Design Verification Tool Using A Smart- Parametric Checking Mechanism, IEEE Trans on CAD of Integrated Circuits and Systems, V23, N1, p.1421, Oct. 24. G. Chen, et al, Characterizing Diodes For RF ESD Protection, IEEE Electron Device Letters, V25, N5, p.323, May 24. A. Wang, On-Chip ESD Protection For Integrated Circuits, Kluwer Academic Publishers, Boston, ISBN: , 22. A. Wang, et al, ESD Protection Design for RF Integrated Circuits: New Challenges, Invited, IEEE CICC, p.411, 22. A. Wang, A Study of Parasitic Effects of ESD Protection on RF ICs, IEEE Trans. Microwave Theory & Tech., V5, N1, p.393, Jan. 22. H. Feng, et al, A Mixed-Mode ESD Protection Circuit Simulation-Design Methodology, IEEE J. Solid-State Circuits, V38, No. 6, p.995, June 23. R. Zhan, et al ESDExtractor: A New Technology-Independent CAD Tool For Arbitrary ESD Protection Device Extraction, IEEE Trans on CAD of Integrated Circuits and Systems, V22, N1, p.1362, October 23. A. Wang, et al, " An on-chip ESD Protection Circuit with Low Trigger-Voltage in BiCMOS Technology", IEEE J. Solid-State Circuits, V36, N1, p.4, January 21. A. Wang, et al, "On a Dual-Direction on-chip Electrostatic Discharge Protection Structure, IEEE Trans. Elec. Devices,, V48, N5, p.978, May 21. R. Zhan, ESDcat: a New CAD Package for Full-Chip ESD Protection Design Verification, PhD Dissertation, IIT, 25. X. Xie, 3D Mixed-Mode Simulation-Design Methodology and Electro-Thermal Modeling for ESD Protection Circuits, MS Thesis, IIT, 24. G. Chen, Design and Characterization of ESD Protection for RFICs, MS Thesis, IIT, 23. H. Feng, A Mixed-Mode Simulation-Design Methodology For On-Chip ESD Protection Design, MS Thesis, IIT, 21. K. Gong, ESD Protection in Copper Interconnect and ESD-to-Circuit Performance Influences, MS Thesis, IIT,

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

RF ESD Protection Strategies The Design and Performance Trade-off Challenges

RF ESD Protection Strategies The Design and Performance Trade-off Challenges RF ESD Protection Strategies The Design and Performance Trade-off Challenges Ph.Jansen, S.Thijs, D.Linten, M.I.Natarajan V.Vassilev, M.Liu, D.Trémouilles, S.Decoutere, G.Groeseneken T.Nakaie, M.Sawada,

More information

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process Tao-Yi Hung and Ming-Dou Ker Institute of Electronics, National Chiao Tung University, Hsinchu, Taiwan Abstract- ESD protection design

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes 58 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 3, NO. 3, SEPTEMBER 2003 SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices 1380 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices Ming-Dou Ker, Senior Member, IEEE, and Kuo-Chun

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process 320 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 SCR Device Fabricated With Dummy-Gate Structure to Improve Turn-On Speed for Effective ESD Protection in CMOS Technology Ming-Dou

More information

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process 1050 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003 Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process Ming-Dou Ker, Senior Member, IEEE,

More information

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Recent Patents on Engineering 2007, 1, 000-000 1 ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Ming-Dou Ker* and Yuan-Wen

More information

ELECTROSTATIC (ESD) has been an important reliability

ELECTROSTATIC (ESD) has been an important reliability IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 10, OCTOBER 2006 2187 Design on Power-Rail ESD Clamp Circuit for 3.3-V I/O Interface by Using Only 1-V/2.5-V Low-Voltage Devices

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

ESD Protection Design for 60-GHz LNA With Inductor-Triggered SCR in 65-nm CMOS Process

ESD Protection Design for 60-GHz LNA With Inductor-Triggered SCR in 65-nm CMOS Process 714 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 60, NO. 3, MARCH 2012 ESD Protection Design for 60-GHz LNA With Inductor-Triggered SCR in 65-nm CMOS Process Chun-Yu Lin, Member, IEEE, Li-WeiChu,

More information

Investigation on seal-ring rules for IC product reliability in m CMOS technology

Investigation on seal-ring rules for IC product reliability in m CMOS technology Microelectronics Reliability 45 (2005) 1311 1316 www.elsevier.com/locate/microrel Investigation on seal-ring rules for IC product reliability in 0.25- m CMOS technology Shih-Hung Chen a * and Ming-Dou

More information

WITH the migration toward shallower junctions, much

WITH the migration toward shallower junctions, much 328 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 ESD Implantations for On-Chip ESD Protection With Layout Consideration in 0.18-m Salicided CMOS Technology Ming-Dou Ker, Senior

More information

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits

On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits On-Chip Electro-Static Discharge (ESD) Protection For Radio-Frequency Integrated Circuits Qiang Cui Juin J. Liou Jean-Jacques Hajjar Javier Salcedo Yuanzhong Zhou Srivatsan Parthasarathy On-Chip Electro-Static

More information

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits IEICE TRANS. ELECTRON., VOL.E92 C, NO.3 MARCH 2009 341 PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits Ming-Dou KER a), Member and Yuan-Wen HSIAO, Nonmember SUMMARY

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE,

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 1751 The Impact of Low-Holding-Voltage Issue in High-Voltage CMOS Technology and the Design of Latchup-Free Power-Rail ESD Clamp Circuit

More information

NANOSCALE CMOS technologies have been used to implement

NANOSCALE CMOS technologies have been used to implement IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 62, NO. 11, NOVEMBER 2014 2723 Design of ESD Protection Diodes With Embedded SCR for Differential LNA in a 65-nm CMOS Process Chun-Yu Lin, Member,

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

Microelectronics Reliability 47 (2007) Introductory Invited Paper

Microelectronics Reliability 47 (2007) Introductory Invited Paper Microelectronics Reliability 47 (2007) 27 35 Introductory Invited Paper Overview on ESD protection design for mixed-voltage interfaces with high-voltage-tolerant power-rail ESD clamp circuits in low-voltage

More information

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices Microelectronics Journal 37 (2006) 526 533 www.elsevier.com/locate/mejo Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices O. Semenov a, *, H. Sarbishaei a, V. Axelrad

More information

System-Efficient ESD Design

System-Efficient ESD Design SEED: The Big, New Development 2 System-Efficient ESD Design In 2010, Industry Council releases White Paper 3, advocating for system-efficient ESD design (SEED) SEED: a board-chip co-design methodology

More information

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY

SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY SYSTEM LEVEL ESD - BEYOND THE COMPONENT LEVEL IC PROTECTION CHARVAKA DUVVURY 1 1 Outline Impact from Advanced Technologies and High Speed Circuit Designs on Component Level ESD System Level ESD and the

More information

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications

Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications 1 technische universität dortmund International ESD Workshop: 2010 Impact of Voltage Overshoots on ESD Protection Effectiveness for High Voltage Applications Yiqun Cao 1,2, Ulrich Glaser 1, Alevtina Podgaynaya

More information

IN DEEP submicrometer CMOS technology, electrostatic

IN DEEP submicrometer CMOS technology, electrostatic 102 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 6, NO. 1, MARCH 2006 ESD Failure Mechanisms of Analog I/O Cells in 0.18-µm CMOS Technology Ming-Dou Ker, Senior Member, IEEE, Shih-Hung Chen,

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers 1046 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers Ming-Dou Ker, Senior Member, IEEE, and Chien-Hui Chuang

More information

WITH the decrease of the power supply voltage for

WITH the decrease of the power supply voltage for IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 9, NO. 1, MARCH 2009 49 Design of High-Voltage-Tolerant ESD Protection Circuit in Low-Voltage CMOS Processes Ming-Dou Ker, Fellow, IEEE, and

More information

Transient Latch-up in Large NFET Switch Arrays. Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc.

Transient Latch-up in Large NFET Switch Arrays. Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc. Transient Latch-up in Large NFET Switch Arrays Nathaniel Peachey, RFMD, Inc. Rick Phelps, IBM, Inc. Biography Nathaniel (Nate) Peachey received his Ph.D. in Physical Chemistry in 1994 from the University

More information

WITH THE continuously scaled-down CMOS technology,

WITH THE continuously scaled-down CMOS technology, 2626 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 10, OCTOBER 2012 Power-Rail ESD Clamp Circuit With Ultralow Standby Leakage Current and High Area Efficiency in Nanometer CMOS Technology Chih-Ting

More information

TO IMPROVE circuit operating speed and performance,

TO IMPROVE circuit operating speed and performance, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 2, FEBRUARY 2006 235 Overview on Electrostatic Discharge Protection Designs for Mixed-Voltage I/O Interfaces: Design Concept and

More information

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology

2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER Broadband ESD Protection Circuits in CMOS Technology 2334 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 12, DECEMBER 2003 Brief Papers Broadband ESD Protection Circuits in CMOS Technology Sherif Galal, Student Member, IEEE, and Behzad Razavi, Fellow,

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008

I/O and ESD Device Optimization for Nanometer Node CMOS Technologies. IRCC IIT-Bombay industry impact award 2008 I/O and ESD Device Optimization for Nanometer Node CMOS Technologies IRCC IIT-Bombay industry impact award 2008 Team members Mayank Shrivastava (Ph.D. from IIT-Bombay, Graduated in 2010) Faculty Members

More information

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features

SFC ChipClamp ΤΜ Flip Chip TVS Diode with T-Filter PRELIMINARY Features Description The SFC2282-50 is a low pass T-filter with integrated TVS diodes. It is designed to provide bidirectional filtering of EMI/RFI signals and electrostatic discharge (ESD) protection in portable

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 53 (2013) 208 214 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel PMOS-based power-rail ESD

More information

ESD 충북대학교 전자정보대학 김영석

ESD 충북대학교 전자정보대학 김영석 ESD 충북대학교 2011.9 1 ElectroStatic Charge Generation When 2 Surfaces in Contact then Separate Some Atom Electrons Move Causing Imbalance One Surface Has Positive Charge & One Surface Has Negative Charge

More information

WITH rapid scaling of the feature size in CMOS technology,

WITH rapid scaling of the feature size in CMOS technology, IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 12, DECEMBER 2011 3455 ESD-Protected K-Band Low-Noise Amplifiers Using RF Junction Varactors in 65-nm CMOS Ming-Hsien Tsai, Member, IEEE,

More information

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY A Thesis submitted in partial fulfillment for the award of degree of Master of Science in VLSI and Embedded Systems Submitted by, Akshaykumar

More information

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8882. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8882 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, MDDI, USB, SATA, and Gigabit Ethernet

More information

AS ultra-large-scale-integrated (ULSI) circuits are being

AS ultra-large-scale-integrated (ULSI) circuits are being IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 8, NO. 3, SEPTEMBER 2008 549 Active ESD Protection Design for Interface Circuits Between Separated Power Domains Against Cross-Power-Domain ESD

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Conference paper ESD Design Challenges in nano-cmos SoC Design

Conference paper ESD Design Challenges in nano-cmos SoC Design Conference paper ESD Design Challenges in nano-cmos SoC Design SoC conference 2008 The Silicon Controlled Rectifier ( SCR ) is widely used for ESD protection due to its superior performance and clamping

More information

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8809ADI. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TVS Diode General Description The AOZ889ADI is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2., USB 3./3.1, MDDI, SATA, and Gigabit

More information

Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product

Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product I-Cheng Lin (1), Chuan-Jane Chao (1), Ming-Dou Ker (2), Jen-Chou Tseng (1), Chung-Ti Hsu (1), Len-Yi Leu (1),

More information

AS CMOS technologies advanced, the radio-frequency

AS CMOS technologies advanced, the radio-frequency 554 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 12, NO. 3, SEPTEMBER 2012 Design of Compact ESD Protection Circuit for V-Band RF Applications in a 65-nm CMOS Technology Chun-Yu Lin, Member,

More information

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology TSMC Open Innovation Platform 2011 Applications like motor control, power management and conversion,

More information

ELECTROSTATIC discharge (ESD) has become the major

ELECTROSTATIC discharge (ESD) has become the major 238 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 10, NO. 2, JUNE 2010 Optimization on Layout Style of ESD Protection Diode for Radio-Frequency Front-End and High-Speed I/O Interface Circuits

More information

AOZ8858DI Channel Ultra-Low Capacitance TVS Array. Features. General Description. Applications. Typical Applications

AOZ8858DI Channel Ultra-Low Capacitance TVS Array. Features. General Description. Applications. Typical Applications 4-Channel Ultra-Low Capacitance TVS Array General Description The AOZ8858DI-03 is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2.0, USB 3.0/3.1, LVDS,

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 543 Native-NMOS-Triggered SCR With Faster Turn-On Speed for Effective ESD Protection in a 0.13-µm CMOS Process Ming-Dou

More information

Low-C ESD Protection Design with Dual Resistor-Triggered SCRs in CMOS Technology

Low-C ESD Protection Design with Dual Resistor-Triggered SCRs in CMOS Technology Low-C ESD Protection Design with Dual Resistor-Triggered SCRs in CMOS Technology Chun-Yu Lin, Senior Member, IEEE, and Chun-Yu Chen Abstract The electrostatic discharge (ESD) protection design with low

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8804A. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TS Diode General Description The is a transient voltage suppressor array designed to protect high speed data lines such as HDMI, USB 3.0, MDDI, SATA, and Gigabit thernet from damaging

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE TRANSACTIONS ON ELECTRON DEVICES 1 On-Chip ESD Protection Design With Substrate-Triggered Technique for Mixed-Voltage I/O Circuits in Subquarter-Micrometer CMOS Process Ming-Dou Ker, Senior Member,, Kun-Hsien

More information

4004 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010

4004 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010 4004 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010 A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS Ming-Hsien Tsai, Shawn S. H.

More information

LOW POWER SRAM CELL WITH IMPROVED RESPONSE

LOW POWER SRAM CELL WITH IMPROVED RESPONSE LOW POWER SRAM CELL WITH IMPROVED RESPONSE Anant Anand Singh 1, A. Choubey 2, Raj Kumar Maddheshiya 3 1 M.tech Scholar, Electronics and Communication Engineering Department, National Institute of Technology,

More information

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA044AA Datasheet 6 GHz 18 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

AOZ8808. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications

AOZ8808. Ultra-Low Capacitance TVS Diode. Features. General Description. Applications. Typical Applications Ultra-Low Capacitance TVS Diode General Description The is a transient voltage suppressor array designed to protect high speed data lines such as HDMI 1.4/2.0, USB 3.0, MDDI, SATA, and Gigabit thernet

More information

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter

XRD8775 CMOS 8-Bit High Speed Analog-to-Digital Converter CMOS 8-Bit High Speed Analog-to-Digital Converter April 2002-4 FEATURES 8-Bit Resolution Up to 20MHz Sampling Rate Internal S/H Function Single Supply: 5V V IN DC Range: 0V to V DD V REF DC Range: 1V to

More information

Investigation on ESD Robustness of P-type TFTs under Different Layout Structures in LTPS Process for On-Panel ESD Protection Design*

Investigation on ESD Robustness of P-type TFTs under Different Layout Structures in LTPS Process for On-Panel ESD Protection Design* * Investigation on ESD Robustness of P-type TFTs under Different Layout Structures in LTPS Process for On-Panel ESD Protection Design* Authors: Ming-Dou Ker 1, Jie-Yao Chuang 1, Chih-Kang Deng 1, Chun-Huai

More information

EDL-0A 2.5V CW LASER DIODE DRIVER

EDL-0A 2.5V CW LASER DIODE DRIVER 2.5V CW LASER DIODE DRIVER FEATURES z CW operation up to 60mA from 2.5..6V supply voltage z Rapid soft start after power-on z Simple power adjustment via the external resistor z Control loop accuracy better

More information

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier

MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier MMA043AA Datasheet 0.5 GHz 12 GHz GaAs phemt MMIC Wideband Low-Noise Amplifier Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

Study Of Esd Effects On Rf Power Amplifiers

Study Of Esd Effects On Rf Power Amplifiers University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Study Of Esd Effects On Rf Power Amplifiers 2011 Raju, Divya Narasimha University of Central Florida Find

More information

WITH the process evolutions, gate oxide thickness has

WITH the process evolutions, gate oxide thickness has 44 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 1, JANUARY 2005 ESD Protection Design for Mixed-Voltage I/O Buffer With Substrate-Triggered Circuit Ming-Dou Ker, Senior Member,

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

THE trend of IC technology is toward smaller device dimension

THE trend of IC technology is toward smaller device dimension 24 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 4, NO. 1, MARCH 2004 Abnormal ESD Failure Mechanism in High-Pin-Count BGA Packaged ICs Due to Stressing Nonconnected Balls Wen-Yu Lo and Ming-Dou

More information

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter

XRD87L85 Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter Low-Voltage CMOS 8-Bit High-Speed Analog-to-Digital Converter April 2002-1 FEATURES 8-Bit Resolution Up to 10 MHz Sampling Rate Internal S/H Function Single Supply: 3.3V VIN DC Range: 0V to V DD VREF DC

More information

TO IMPROVE circuit operating speed and performance,

TO IMPROVE circuit operating speed and performance, 602 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 ESD Protection Design of Low-Voltage-Triggered p-n-p Devices and Their Failure Modes in Mixed-Voltage I/O Interfaces

More information

IN ADVANCED nanoscale CMOS technology, the electrostatic

IN ADVANCED nanoscale CMOS technology, the electrostatic IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 60, NO. 3, JUNE 2013 1011 High Area-Efficient ESD Clamp Circuit With Equivalent RC-Based Detection Mechanism in a 65-nm CMOS Process Chih-Ting Yeh, Student Member,

More information

AOZ8102. Ultra-Low Capacitance TVS Diode Array. Features. General Description. Applications. Typical Application

AOZ8102. Ultra-Low Capacitance TVS Diode Array. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from SD and lightning. This device incorporates eight surge

More information

United States Patent 19 Ker

United States Patent 19 Ker United States Patent 19 Ker US005744842A 11 Patent Number: 45 Date of Patent: 5,744,842 Apr. 28, 1998 54 AREA-EFFICIENT WDD-TO-VSS ESD PROTECTION CIRCUIT 75 Inventor: Ming-Dou Ker, Hsinchu, Taiwan 73 Assignee:

More information

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology Philippe Renaud, Amaury Gendron, Marise Bafleur, Nicolas Nolhier To cite this version:

More information

Automotive Electronics Council Component Technical Committee

Automotive Electronics Council Component Technical Committee ATTACHMENT 3 AEC - Q100-003 REV-E MACHINE MODEL ELECTROSTATIC DISCHARGE TEST Acknowledgment Any document involving a complex technology brings together experience and skills from many sources. The Automotive

More information

SM05G. Low Capacitance Quad Line ESD Protection Diode Arry SM05/G SOT23-3. General Description. Rev.02 Nov.

SM05G. Low Capacitance Quad Line ESD Protection Diode Arry SM05/G SOT23-3. General Description.   Rev.02 Nov. Low Capacitance Quad Line ESD Protection Diode Arry SOT23-3 General Description The of transient voltage suppressors (TVS) are designed to protect components which are connected to data and transmission

More information

(12) United States Patent

(12) United States Patent US007110229B2 (12) United States Patent Yang et al. (10) Patent No.: (45) Date of Patent: (54) ESD PROTECTION CIRCUIT AND DISPLAY PANELUSING THE SAME (76) Inventors: Sheng-Chieh Yang, No. 120, Jhenfu St.,

More information

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN

MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN MPS4101-6LP Datasheet 50 MHz 25 GHz RoHS-Compliant Control Device QFN SPST PIN Microsemi Corporate Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA:

More information

EClamp2410P. ESD Protection Device for T-Flash/MicroSD Interfaces PRELIMINARY. PROTECTION PRODUCTS - EMIClamp TM Description.

EClamp2410P. ESD Protection Device for T-Flash/MicroSD Interfaces PRELIMINARY. PROTECTION PRODUCTS - EMIClamp TM Description. - EMIClamp TM Description The EClamp TM 240P is a combination EMI fi lter and line termination device with integrated TVS diodes for use on Multimedia Card interfaces. This state-of-the-art device utilizes

More information

EMI/ESD Filters for Cellular Phones

EMI/ESD Filters for Cellular Phones EMI/ESD Filters for Cellular Phones Cellular phones, as with all handheld and wireless devices are susceptible to the damaging effects of Electrostatic Discharge (ESD) transients. As much as 40 kilovolts

More information

RClamp0522P RClamp0524P

RClamp0522P RClamp0524P PROTECTION PRODUCTS - RailClamp Description RailClamps are ultra low capacitance TVS arrays designed to protect high speed data interfaces. This series has been specifically designed to protect sensitive

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

EE5780 Advanced VLSI CAD

EE5780 Advanced VLSI CAD EE5780 Advanced VLSI CAD Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 513 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee5780fall2013.html

More information

Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD)

Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD) Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD) Wei Huang, Jerry Tichenor, David Pommerenke 2014 ESDA Exhibition Booth 606 Web: www.esdemc.com Email: info@esdemc.com

More information