ESD 충북대학교 전자정보대학 김영석

Size: px
Start display at page:

Download "ESD 충북대학교 전자정보대학 김영석"

Transcription

1 ESD 충북대학교

2 ElectroStatic Charge Generation When 2 Surfaces in Contact then Separate Some Atom Electrons Move Causing Imbalance One Surface Has Positive Charge & One Surface Has Negative Charge 2

3 ESD Theory Triboelectric( 마찰전기 ) charging happens when 2 materials come in contact and then separated An ESD event occurs when the stored charge is discharged 3

4 Lightning Zap from a Door ESD Examples 4

5 ElectroStatic Discharge Charges Seek Balance Discharge is Rapid Creating Heat 5

6 Two Types of Materials: Conductors Electrical Current Flows Easily So Can be Grounded Can Discharge Examples: Metals and People 6

7 Two Types of Materials: Insulators Electrical Current Does Not Flow Easily Cannot be Grounded Example: Plastics Typically very high h charging 7

8 Static Voltages Walking across a carpet: 1,500-35,000 volts Walking over untreated vinyl floor: ,000 volts Vinyl envelope used for work instructions: 600-7,000 volts Worker at a bench: 700-6,000 volts Unwinding regular tape: 9,000-15,000 volts 8

9 Electronic Component ESD Sensitivity People Discharge Frequently But To feel a Discharge it must be about 3,000 volts Human Body Model(HBM) ESD Class 0: Damage you can t feel: 0 to 199 Volts ESD Class 1: Damage you can t feel: 200 to 1,999 Volts ESD Class 2: Damage you might feel: 2,000 to 3,999 Volts ESD Class 3: Damage you can probably detect as spark with your own body: 4,000 to 15,999 Volts ESD That A Person Can t Feel Can Easily Damage Electronic Components 100 volts or less can damage components 9

10 ESD Test Methods Human Body Model (HBM) Representative of an ESD event between a Human and an Electronic Component Machine Model (MM) Simulates the ESD event when a Charged Machine discharges through a component Charged Device Model(CDM) When the component is charged and then discharges through a Pin. The Substrate becomes charged and discharges through a Pin 10

11 Human Body Model(HBM) Human Body Model (HBM) Human Body Model (HBM) consists of a Capacitor and a series Resistor: C =100pf, R=1500 Ohm (JEDEC JESD 22-A114 [2]) Requirements 2-4 kvolts Positive or negative discharge between any two pins V HBM R = 1.5 KΩ DUT C = 100 pf 9/28/

12 Human Body Model(HBM) Waveform Human Body Model (HBM) Waveform 10ns rise time typically (short) 2-10ns are allowed Peak current: Rule of Thumb: 1kV = 2/3 Ampere i peak = V HBM /1500 1kV i(t) t r = 2-10 nsec time 12

13 Machine Model(MM) Machine Model(MM) Machine Model (MM) consists of a Capacitor and no series Resistor: C=200pF (JEDEC JESD 22-A115 [11]) [Philips Standard: d C=200 pf, R=10-25 Ohm, L= µH 25 H] Requirements Volts Positive or negative discharge between any two pins L = μh V MM R < 8.5 Ω DUT C = 200 pf 9/28/

14 Machine Model(MM) Waveforms Machine Model(MM) Waveform stress is similar to HBM Oscillations due to setup parasitics MM and HBM failure modes are similiar ili Less reproducible than HBM VESD=0.1kV, Ipeak= A VESD=0.2kV, Ipeak= A VESD=0.3kV, Ipeak= A 14

15 Charged Device Model(CDM) Charged Device Model(CDM) Models an ESD event which occurs when a device acquires electrostatic charge and then touches a grounded object 15

16 Charged Device Model(CDM) Waveform CDM Waveform: Highly dependent on die size and package capacitance 500V with 4pF verification module t r <400psec, I p1 ~4.5A (Source: AEC-Q B) 011B) 16

17 ESD Protection Scheme Primary + Isolation + Secondary Element Primary Element(PE) Shunt All of Current during an ESD Event FOD(Field Oxide Device), SCR, NMOS, PN Diode Effectiveness of PE is determined by the SE Isolation Resistive Element Polysilicon, N+ Diffusion, N-well, Zener Diode Secondary Element(SE) Limit the Voltage or Current until the PD is Fully Operational Small Grounded d Gate MOS, Diode 17

18 ESD Protection Devices Non-breakdown Based Diodes BJT(Bipolar Junction Transistor) MOSFET Breakdown Based Area Efficient, But Hard to Design/predict TFO(Thick Field Oxide) SCR(Silicon Controlled Rectifier) PIPE(Punchthrough Induced Protection Element) LVSCR(Low Voltage SCR) Gate SCR(Gate Coupled SCR) GCNMOST(Gate Coupled NMOS) Bimodal SCR Spark Gap 9/28/

19 Two Operations BJT under ESD Conditions 1) Self-Triggering Operation B-C Reverse Bias => Breakdown (Bvcbo)=> Avalanche Generation of Carriers Electrons enter the Collector (Ic) Holes drift to the Base => B-E Forward Bias I-V Curve: Vt1, It1=Snap Trigger Voltage, Current Vsp=Snap Hold Voltage 19

20 BJT under ESD Conditions 2) External Triggering Operation Using External Current Source Low VCB Voltage << Bvcbo Vt1(External trigger) < Vt1(Self trigger): Desirable in ESD protection 20

21 MOSFET under ESD Conditions Thin Gate Oxides => Low Clamping Voltage Triggering by Parasitic Lateral BJT VD Increase => Avalanche, Electron-Hole Pair Generation Electrons go to the Drain (ID) Holes go to the Substrate (Base) (Isub) Isub => Forward Bias of B-E Junction of npn BJT (Vt1, It1) Electron Injection into the Substrate (Base) => VD Decrease, - Res Vsp 이후 : Conduction Modulation of Substrate 21

22 SCR(Silicon Controlled Rectifier) SCR(Silicon Controlled Rectifier) =Thyristor = PNP + NPN BJTs Anode=+, Cathode=GND => Reverse Bias n-well/p-well Junction => Avalanche Breakdown, => Electron to n-well (turn on pnp) Bias, Holes to p-well (turn on npn) Vh ~25V 2-5V, Vt ~ 20V 22

23 SCR Vtrigger (~20v) Reduction Desirable in ESD Protection N+ Diffusion in n-well edge : Vt ~ 15v Gate Oxide at n-well edge: Vt ~ 6-10V 23

24 Field Oxide Device(FOD) Thick Field Device, or FOD Feature Sizes from 3um to 1um Lateral BJT: Breakdown at the Drain Junction Drain Spacing(DS), Channel Length(L): Critical Parameters 24

25 FOD: Vt Reduction Trigger Voltage (Vt) Reduction (a) FOD without Gate (b) FOD with Metal Gate Shorted to the Drain (c) FOD using Polysilicon Gate Vt(a) > Vt(b) > Vt(c) 25

26 FOD: Design Parameters Trigger Voltage(Vt) vs Channel Length(L) Failure Voltage(HBM) vs Drain Spacing(DS) 26

27 FOD: Layout DS/ L: Critical DS /GR: Not Critical 27

28 NMOS (FPD) NMOS or FPD(Field-Plated Diode) or Gated-Diode FPD: Thin Oxide Devices (FOD: Thick Oxide Device) Good for Feature Size < 1um (FOD: 1um<L<3um) Gate Tied to the Ground 28

29 FPD: Performance Feature Size Dependency L>1um: Thick Oxide Device (FOD) is Better L<1um: Thin Oxide Device (FPD) is Better Silicide/Non-Silicidedid id d Non-Silicided is Better DCG(Drain Contact to Gate) Dependency 29

30 FPD: Summary Good for Submicron Device W ~ 200um L ~ Minimum Channel Length Drain Contact t to Gate ~ 6um Finger Length ~ 40 80um Number of Finger ~

31 Gate-Coupled NMOS(GCNMOS) VG>VTH for GCNMOS (VG=0 for FOD/FPD) Merits Lower Trigger Voltage (Vt) Uniform Turn-on of fallfi Fingers (VG=0: Nonuniform Turn-on => >ESD Failure) 31

32 GCNMOS: VG Dependency Gate Coupling : Lower the Avalanche Breakdown Voltage Vbr Vbr Minimum for VG ~ 1-2V 32

33 GCNMOS with FOD Gate of GCNMOS is connected to Ground Through the FOD NPN(GCNMOS) Turn-on, Vpad ~ 8V NPN Snapback, Vpad ~ 15V, I ~ 2A (R ~ 5Ohm) Turn-on FOD Discharge VG=0 Tr ~ 5-10ns: Enough for All the Fingers to Turn-on 33

34 GCNMOS with FOD: Performance Trigger Voltage (Gate Coupled) < Trigger Voltage (VG=0) Failure Voltage (Gate Coupled) > Failure Voltage (VG=0) All the Fingers are Turned-on (See Inset of the Fig) 34

35 Most Efficient/unit Area SCR SCR Action N-well/P-sub is Highly Reverse Biased =>Avalanche Breakdown Electron Current to N-well => E/B (P+ Anode/N-well) Forward Biased. Turn-on PNP in 1ns Holes from Emitter go to P-sub => Turn-on NPN Regenerative PNPN Action Low Impedance State: Vanode-cathode ~ 1-2V => Low Power Dissipation, i Improved ESD Performace 35

36 SCR: Trigger Voltage Main Design Parameter for Vt Spacing bet. Anode and N-well (X) P-sub Resistance (Rp) Typically, Vt ~ V Vt ~ 60-70V/um in Nonsalicided Process Vt ~ 40-50V/um in Salicided Process Higher Rp => Lower Vt 36

37 SCR: VT Reduction 1) MLSCR(Modified Lateral SCR) Include Highly Doped Region near the Surface Vt ~ 25V 37

38 SCR: VT Reduction 2) LVTSCR(Low Voltage Trigger SCR) MOS // SCR Avalanche in Drain Junction of MOS Holes in the Substrate t Turn-on NPN Electron Current (from N+ source) goes to N-well, Turn-on PNP Vt ~

39 References Basic ESD and I/O Design, S. Dabral and T. J. Maloney, Wiley,

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea

Power IC 용 ESD 보호기술. 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea Power IC 용 ESD 보호기술 구용서 ( Yong-Seo Koo ) Electronic Engineering Dankook University, Korea yskoo@dankook.ac.kr 031-8005-3625 Outline Introduction Basic Concept of ESD Protection Circuit ESD Technology Issue

More information

ESD Protection Circuits: Basics to nano-metric ASICs

ESD Protection Circuits: Basics to nano-metric ASICs ESD Protection Circuits: Basics to nano-metric ASICs Manoj Sachdev University of Waterloo msachdev@ece.uwaterloo.ca September 2007 1 Outline Group Introduction ESD Basics Basic ESD Protection Circuits

More information

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview

ESD Protection Design for Mixed-Voltage I/O Interfaces -- Overview ESD Protection Design for Mixed-Voltage Interfaces -- Overview Ming-Dou Ker and Kun-Hsien Lin Abstract Electrostatic discharge (ESD) protection design for mixed-voltage interfaces has been one of the key

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Modeling of High Voltage Devices for ESD Event Simulation in SPICE

Modeling of High Voltage Devices for ESD Event Simulation in SPICE The World Leader in High Performance Signal Processing Solutions Modeling of High Voltage Devices for ESD Event Simulation in SPICE Yuanzhong (Paul) Zhou, Javier A. Salcedo Jean-Jacques Hajjar Analog Devices

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Latch-Up. Parasitic Bipolar Transistors

Latch-Up. Parasitic Bipolar Transistors Latch-Up LATCH-UP CIRCUIT Latch-up is caused by an SCR (Silicon Controlled Rectifier) circuit. Fabrication of CMOS integrated circuits with bulk silicon processing creates a parasitic SCR structure. The

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis AY 2015-16 26/04/2016-1

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F2 Active power devices»mos»bjt» IGBT, TRIAC» Safe Operating Area» Thermal analysis 23/05/2014-1 ATLCE - F2-2014 DDC 2014 DDC

More information

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices

Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices 1380 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 8, AUGUST 2003 Latchup-Free ESD Protection Design With Complementary Substrate-Triggered SCR Devices Ming-Dou Ker, Senior Member, IEEE, and Kuo-Chun

More information

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits

ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Recent Patents on Engineering 2007, 1, 000-000 1 ESD Protection Design With Low-Capacitance Consideration for High-Speed/High- Frequency I/O Interfaces in Integrated Circuits Ming-Dou Ker* and Yuan-Wen

More information

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process

ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process ESD Protection Structure with Inductor-Triggered SCR for RF Applications in 65-nm CMOS Process Chun-Yu Lin 1, Li-Wei Chu 1, Ming-Dou Ker 1, Ming-Hsiang Song 2, Chewn-Pu Jou 2, Tse-Hua Lu 2, Jen-Chou Tseng

More information

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes 58 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 3, NO. 3, SEPTEMBER 2003 SCR Device With Double-Triggered Technique for On-Chip ESD Protection in Sub-Quarter-Micron Silicided CMOS Processes

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process 320 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 SCR Device Fabricated With Dummy-Gate Structure to Improve Turn-On Speed for Effective ESD Protection in CMOS Technology Ming-Dou

More information

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology

Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Vol. 30, No. 8 Journal of Semiconductors August 2009 Influence of layout parameters on snapback characteristic for a gate-grounded NMOS device in 0.13-µm silicide CMOS technology Jiang Yuxi(ñŒD), Li Jiao(o),

More information

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board

ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board ESD Protection Scheme for I/O Interface of CMOS IC Operating in the Power-Down Mode on System Board Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory Institute of Electronics,

More information

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process

ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process ESD Protection Design on T/R Switch with Embedded SCR in CMOS Process Tao-Yi Hung and Ming-Dou Ker Institute of Electronics, National Chiao Tung University, Hsinchu, Taiwan Abstract- ESD protection design

More information

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process

PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process IEICE TRANS. ELECTRON., VOL.E88 C, NO.3 MARCH 2005 429 PAPER MOS-Bounded Diodes for On-Chip ESD Protection in Deep Submicron CMOS Process Ming-Dou KER a), Kun-Hsien LIN, and Che-Hao CHUANG, Nonmembers

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino Electronic Eng. Master Degree Analog and Telecommunication Electronics F1 - Power devices: diodes» Switches» pn Junction» Diode models» Dynamic behavior» Zener diodes AY 2015-16 26/04/2016-1

More information

Ethernet Protection A Whole Solution Han Zou, ProTek Devices

Ethernet Protection A Whole Solution Han Zou, ProTek Devices Ethernet Protection ------ A Whole Solution Han Zou, ProTek Devices Introduction: As Ethernet applications progress from 10BaseT to 10Gigabit and beyond, IC components are becoming more complicated with

More information

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs

Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Design on Latchup-Free Power-Rail ESD Clamp Circuit in High-Voltage CMOS ICs Kun-Hsien Lin and Ming-Dou Ker Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung

More information

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT I

SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road QUESTION BANK (DESCRIPTIVE) UNIT I QUESTION BANK 2017 SIDDHARTH GROUP OF INSTITUTIONS :: PUTTUR (AUTONOMOUS) Siddharth Nagar, Narayanavanam Road 517583 QUESTION BANK (DESCRIPTIVE) Subject with Code : Basic Electronic Devices (16EC401) Year

More information

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996

11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 United States Patent (19) I I USOO5519242A 11 Patent Number: 5,519,242 Avery 45) Date of Patent: May 21, 1996 54 ELECTROSTATIC DISCHARGE 5,357,126 10/1994 Jimenez... 257/173 PROTECTION CIRCUIT FOR A NMOS

More information

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits

Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Electrostatic Discharge Implantation to Improve Machine-Model ESD Robustness of Stacked NMOS in Mixed-Voltage I/O Interface Circuits Ming-Dou Ker, Hsin-Chyh Hsu, and Jeng-Jie Peng * Nanoelectronics and

More information

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465

Single Channel Protector in a SOT-23 Package and a MSOP Package ADG465 Data Sheet Single Channel Protector in a SOT-23 Package and a MSOP Package FEATURES Fault and overvoltage protection up to ±40 V Signal paths open circuit with power off Signal path resistance of RON with

More information

WITH THE continuously scaled-down CMOS technology,

WITH THE continuously scaled-down CMOS technology, 2626 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 59, NO. 10, OCTOBER 2012 Power-Rail ESD Clamp Circuit With Ultralow Standby Leakage Current and High Area Efficiency in Nanometer CMOS Technology Chih-Ting

More information

ELECTROSTATIC discharge (ESD) is a transient process

ELECTROSTATIC discharge (ESD) is a transient process IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 543 Native-NMOS-Triggered SCR With Faster Turn-On Speed for Effective ESD Protection in a 0.13-µm CMOS Process Ming-Dou

More information

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING QUESTION BANK EE T34 - Electronic Devices and Circuits II YEAR / III SEMESTER RGCET 1 UNIT-I 1. How

More information

Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD)

Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD) Characterizing Touch Panel Sensor ESD Failure with IV-Curve TLP (System Level ESD) Wei Huang, Jerry Tichenor, David Pommerenke 2014 ESDA Exhibition Booth 606 Web: www.esdemc.com Email: info@esdemc.com

More information

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS PART A

RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS PART A RAJIV GANDHI COLLEGE OF ENGINEERING AND TECHNOLOGY DEPARTMENT OF ECE QUESTION BANK- EDC SEMESTER - III UNIT I : SEMICONDUCTOR DIODS 1. Define Electronics. 2. What is meant by forbidden energy gap. 3. Classify

More information

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices

Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices 190 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 1, NO. 4, DECEMBER 2002 Investigation of the Gate-Driven Effect and Substrate-Triggered Effect on ESD Robustness of CMOS Devices Tung-Yang

More information

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1

(12) Patent Application Publication (10) Pub. No.: US 2012/ A1 US 20120162831A1 (19) United States (12) Patent Application Publication (10) Pub. No.: US 2012/0162831 A1 Wang et al. (43) Pub. Date: Jun. 28, 2012 (54) ESD PROTECTION CIRCUIT FOR (22) Filed: Dec. 26,

More information

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application

AOZ8900. Ultra-Low Capacitance TVS Diode Array PRELIMINARY. Features. General Description. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning. This device

More information

Electronic Devices. Special Purpose Diodes. Chapter Three. Dr. Hisham Alrawashdeh

Electronic Devices. Special Purpose Diodes. Chapter Three. Dr. Hisham Alrawashdeh Electronic Devices Chapter Three Special Purpose Diodes Dr. Hisham Alrawashdeh Chapter Three Special Purpose Diodes Introduction Chapter 2 was devoted to general-purpose and rectifier diodes, which are

More information

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp

Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp . BRIEF REPORT. SCIENCE CHINA Information Sciences February 2014, Vol. 57 029401:1 029401:6 doi: 10.1007/s11432-013-5016-1 Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power

More information

TO IMPROVE circuit operating speed and performance,

TO IMPROVE circuit operating speed and performance, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 2, FEBRUARY 2006 235 Overview on Electrostatic Discharge Protection Designs for Mixed-Voltage I/O Interfaces: Design Concept and

More information

Fundamentals of Thyristor Overvoltage Circuit Protection

Fundamentals of Thyristor Overvoltage Circuit Protection Fundamentals of Thyristor Overvoltage Circuit Protection Thyristor Surge Protection Technology The Problem of Overvoltages Electronic components have been designed to function properly when used within

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE,

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST Ming-Dou Ker, Senior Member, IEEE, and Kun-Hsien Lin, Member, IEEE, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 8, AUGUST 2005 1751 The Impact of Low-Holding-Voltage Issue in High-Voltage CMOS Technology and the Design of Latchup-Free Power-Rail ESD Clamp Circuit

More information

Introduction. Aspects of System Level ESD Testing. Test Environment. System Level ESD Testing Part II: The Test Setup

Introduction. Aspects of System Level ESD Testing. Test Environment. System Level ESD Testing Part II: The Test Setup System Level ESD Testing Part II: The Test Setup Introduction This is the second in a series of articles on system level ESD testing. In the first article the current waveform for system level ESD testing

More information

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-02N Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 2 high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4-2 (ESD) ±15kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) (5/50ns) Level-3, 20A for I/O, 40A for

More information

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits

PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits IEICE TRANS. ELECTRON., VOL.E92 C, NO.3 MARCH 2009 341 PAPER Impedance-Isolation Technique for ESD Protection Design in RF Integrated Circuits Ming-Dou KER a), Member and Yuan-Wen HSIAO, Nonmember SUMMARY

More information

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions

Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Comprehensive Layout-based ESD Check Methodology with Fast Full-chip Static and Macro-level Dynamic Solutions Norman Chang, Ting-Sheng Ku, Jai Pollayil 26 th International Conference on VLSI January 2013

More information

WITH the process evolutions, gate oxide thickness has

WITH the process evolutions, gate oxide thickness has 44 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 52, NO. 1, JANUARY 2005 ESD Protection Design for Mixed-Voltage I/O Buffer With Substrate-Triggered Circuit Ming-Dou Ker, Senior Member,

More information

Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System

Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System Protecting Mixed- Signal Technologies Against Electrostatic Discharges: Challenges and Protection Strategies from Component to System Marise Bafleur, Fabrice Caignet, Nicolas Nolhier, Patrice Besse, Jean-

More information

Multi-function Tester (TC-V2.12k)

Multi-function Tester (TC-V2.12k) August 2015 Table of Contents 1 Overview... 3 1.1 Introduction... 3 1.2 Features... 3 2 Operating Instructions... 4 2.1 Key operational definitions... 4 2.2 Power on... 5 2.3 Detect transistor... 5 2.4

More information

ESD Protection Device and Circuit Design for Advanced CMOS Technologies

ESD Protection Device and Circuit Design for Advanced CMOS Technologies ESD Protection Device and Circuit Design for Advanced CMOS Technologies Oleg Semenov Hossein Sarbishaei Manoj Sachdev ESD Protection Device and Circuit Design for Advanced CMOS Technologies Authors: Oleg

More information

United States Patent 19 Ker

United States Patent 19 Ker United States Patent 19 Ker US005744842A 11 Patent Number: 45 Date of Patent: 5,744,842 Apr. 28, 1998 54 AREA-EFFICIENT WDD-TO-VSS ESD PROTECTION CIRCUIT 75 Inventor: Ming-Dou Ker, Hsinchu, Taiwan 73 Assignee:

More information

IEC (EFT) 40A

IEC (EFT) 40A Features ESD Protect for high-speed I/O channels Provide ESD protection for each channel to IEC 61000-4- (ESD) ±1kV (air), ±8kV (contact) IEC 61000-4-4 (EFT) 40A (/0ns) IEC 61000-4- (Lightning) 1A (8/0µs)

More information

WITH the migration toward shallower junctions, much

WITH the migration toward shallower junctions, much 328 IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 18, NO. 2, MAY 2005 ESD Implantations for On-Chip ESD Protection With Layout Consideration in 0.18-m Salicided CMOS Technology Ming-Dou Ker, Senior

More information

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process

Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process 1050 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 4, APRIL 2003 Substrate-Triggered Technique for On-Chip ESD Protection Design in a 0.18-m Salicided CMOS Process Ming-Dou Ker, Senior Member, IEEE,

More information

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features

RClamp TM 0504M RailClamp Low Capacitance TVS Diode Array PRELIMINARY Features Description RailClamps are surge rated diode arrays designed to protect high speed data interfaces. The RClamp series has been specifically designed to protect sensitive components which are connected

More information

WITH the decrease of the power supply voltage for

WITH the decrease of the power supply voltage for IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 9, NO. 1, MARCH 2009 49 Design of High-Voltage-Tolerant ESD Protection Circuit in Low-Voltage CMOS Processes Ming-Dou Ker, Fellow, IEEE, and

More information

TO IMPROVE circuit operating speed and performance,

TO IMPROVE circuit operating speed and performance, 602 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 5, NO. 3, SEPTEMBER 2005 ESD Protection Design of Low-Voltage-Triggered p-n-p Devices and Their Failure Modes in Mixed-Voltage I/O Interfaces

More information

ELECTROSTATIC (ESD) has been an important reliability

ELECTROSTATIC (ESD) has been an important reliability IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 53, NO. 10, OCTOBER 2006 2187 Design on Power-Rail ESD Clamp Circuit for 3.3-V I/O Interface by Using Only 1-V/2.5-V Low-Voltage Devices

More information

An Introduction to ESD

An Introduction to ESD An Introduction to ESD We experience occurrences of static electricity every day. For example, walking along a carpeted floor in a heated room during winter generates sufficient static electricity to give

More information

ESD Protection Device Simulation and Design

ESD Protection Device Simulation and Design ESD Protection Device Simulation and Design Introduction Electrostatic Discharge (ESD) is one of the major reliability issues in Integrated Circuits today ESD is a high current (1A) short duration (1ns

More information

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) Design Of Silicon Controlled Rectifers Sic] For Robust Electrostatic Discharge Protection Applications

More information

Analog and Telecommunication Electronics

Analog and Telecommunication Electronics Politecnico di Torino - ICT School Analog and Telecommunication Electronics F4 - Actuator driving» Driving BJT switches» Driving MOS-FET» SOA and protection» Smart switches 30/05/2014-1 ATLCE - F4-2011

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 53 (2013) 208 214 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel PMOS-based power-rail ESD

More information

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems

ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY. Master of Science. VLSI and Embedded Systems ESD AND OVERVOLTAGE PROTECTION ISSUES IN MODERN IC TECHNOLOGY A Thesis submitted in partial fulfillment for the award of degree of Master of Science in VLSI and Embedded Systems Submitted by, Akshaykumar

More information

Conference paper ESD Protection Solutions for High Voltage Technologies

Conference paper ESD Protection Solutions for High Voltage Technologies Conference paper ESD Protection Solutions for High Voltage Technologies EOS/ESD symposium 4 There is a trend to revive mature technologies while including high voltage options. ESD protection in those

More information

Study Of Esd Effects On Rf Power Amplifiers

Study Of Esd Effects On Rf Power Amplifiers University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Study Of Esd Effects On Rf Power Amplifiers 2011 Raju, Divya Narasimha University of Central Florida Find

More information

CHAPTER 5. Voltage Regulator

CHAPTER 5. Voltage Regulator CHAPTER 5 Voltage Regulator In your robot, the energy is derived from batteries. Specifically, there are two sets of batteries wired up to act as voltage sources; a 9V battery, and two 1.5V batteries in

More information

Operating Requirements

Operating Requirements Operating Requirements for Altera Devices January 1998, ver. 8 Data Sheet Introduction Altera devices combine unique programmable logic architectures with advanced CMOS processes to provide exceptional

More information

Digital Fundamentals. Integrated Circuit Technologies

Digital Fundamentals. Integrated Circuit Technologies Digital Fundamentals Integrated Circuit Technologies 1 Objectives Determine the noise margin of a device from data sheet parameters Calculate the power dissipation of a device Explain how propagation delay

More information

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION

CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS NICHOLAS ALLEN OLSON DISSERTATION CHARGED DEVICE MODEL ELECTROSTATIC DISCHARGE FAILURES IN SYSTEM ON A CHIP AND SYSTEM IN A PACKAGE DESIGNS BY NICHOLAS ALLEN OLSON DISSERTATION Submitted in partial fulfillment of the requirements for the

More information

SP724 Series 3pF 8kV Rail Clamp Array

SP724 Series 3pF 8kV Rail Clamp Array SP74 Series pf 8kV Rail Clamp Array RoHS Pb GREEN Description Pinout Functional Block Diagram V+ IN V- SP74 (SOT-) TOP VIEW, 4 AND 6 IN. The NOTES: design of the SP74 SCR/Diode ESD Protection Arrays are

More information

AQ1003 Series - 30pF 30kV Unidirectional Discrete TVS

AQ1003 Series - 30pF 30kV Unidirectional Discrete TVS General Purpose ESD Protection - SP003 AQ003 Series AQ003 Series - 30pF 30kV Unidirectional Discrete TVS RoHS Pb GREEN Description This diode is fabricated in a proprietary silicon avalanche technology

More information

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology

High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology High robustness PNP-based structure for the ESD protection of high voltage I/Os in an advanced smart power technology Philippe Renaud, Amaury Gendron, Marise Bafleur, Nicolas Nolhier To cite this version:

More information

SPECIAL-PURPOSE DIODES. Dr. Paulraj M P, Associate Professor, Blok A, School of Mechatronic Engineering

SPECIAL-PURPOSE DIODES. Dr. Paulraj M P, Associate Professor, Blok A, School of Mechatronic Engineering SPECIAL-PURPOSE DIODES 1 CONTENTS 3-1 zener diodes 3-2 zener diodes applications 3-3 varactor diodes 3-4 optical diodes 3-5 other types of diodes 3-6 trouble shooting 2 OBJECTIVES Discuss the basic characteristics

More information

AOZ8101. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application

AOZ8101. Ultra-Low Capacitance TVS Diode Array. General Description. Features. Applications. Typical Application Ultra-Low Capacitance TS Diode Array General Description The AOZ8101 is a transient voltage suppressor array designed to protect high speed data lines from Electro Static Discharge (ESD) and lightning.

More information

Automotive Electronics Council Component Technical Committee

Automotive Electronics Council Component Technical Committee ATTACHMENT 11 CHARGED DEVICE MODEL (CDM) ELECTROSTATIC DISCHARGE TEST Acknowledgment Any document involving a complex technology brings together experience and skills from many sources. The Automotive

More information

TVS Diode Arrays (SPA Diodes) SP1005 Series 30pF 30kV Bidirectional Discrete TVS. General Purpose ESD Protection - SP1005 Series Flipchip SOD882

TVS Diode Arrays (SPA Diodes) SP1005 Series 30pF 30kV Bidirectional Discrete TVS. General Purpose ESD Protection - SP1005 Series Flipchip SOD882 SP1005 Series 30pF 30kV Bidirectional Discrete TVS RoHS Pb GREEN Description The SP1005 includes back-to-back Zener diodes fabricated in a proprietary silicon avalanche technology to provide protection

More information

AZC099-04S 4 IEC (ESD)

AZC099-04S 4 IEC (ESD) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE

IEEE TRANSACTIONS ON ELECTRON DEVICES 1. Ming-Dou Ker, Senior Member, IEEE, Kun-Hsien Lin, Student Member, IEEE, and Chien-Hui Chuang IEEE TRANSACTIONS ON ELECTRON DEVICES 1 On-Chip ESD Protection Design With Substrate-Triggered Technique for Mixed-Voltage I/O Circuits in Subquarter-Micrometer CMOS Process Ming-Dou Ker, Senior Member,, Kun-Hsien

More information

Multi-function Tester (TC-V2.12k)

Multi-function Tester (TC-V2.12k) 1 Overview 1.1 Introduction 1-160x128 TFT display 2 - Multi function key 3 - Transistor test area 4 - Zener Diode test area 5 - IR receiver window 6 - Micro USB Charging Interface 7 - Charge indicator

More information

The presentation today will examine the issue of input electrical overstress. Simply, this implies a condition where potentials applied to the

The presentation today will examine the issue of input electrical overstress. Simply, this implies a condition where potentials applied to the The presentation today will examine the issue of input electrical overstress. Simply, this implies a condition where potentials applied to the amplifier exceeds those of the normal specified operating

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Lecture 20: Package, Power, and I/O

Lecture 20: Package, Power, and I/O Introduction to CMOS VLSI Design Lecture 20: Package, Power, and I/O David Harris Harvey Mudd College Spring 2004 1 Outline Packaging Power Distribution I/O Synchronization Slide 2 2 Packages Package functions

More information

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers

Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers 1046 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 8, AUGUST 2002 Electrostatic Discharge Protection Design for Mixed-Voltage CMOS I/O Buffers Ming-Dou Ker, Senior Member, IEEE, and Chien-Hui Chuang

More information

Automotive Electronics Council Component Technical Committee

Automotive Electronics Council Component Technical Committee ATTACHMENT 3 AEC - Q100-003 REV-E MACHINE MODEL ELECTROSTATIC DISCHARGE TEST Acknowledgment Any document involving a complex technology brings together experience and skills from many sources. The Automotive

More information

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers

Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Foundry ESD Tool-set; from ESD Qualification Vehicle to ESD PDK and ESD Checkers Efraim Aharoni, Roda Kanawati, Israel Rotstein, Avi Parvin, Hafez Khmaisy, Nissim Cohen TowerJazz May 6, 6, 2015 1 Outline

More information

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process

New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process New Layout Scheme to Improve ESD Robustness of I/O Buffers in Fully-Silicided CMOS Process Ming-Dou Ker (1, 2), Wen-Yi Chen (1), Wuu-Trong Shieh (3), and I-Ju Wei (3) (1) Institute of Electronics, National

More information

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology

Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, VOL. 16, NO. 2, MAY 2003 319 Methodology on Extracting Compact Layout Rules for Latchup Prevention in Deep-Submicron Bulk CMOS Technology Ming-Dou Ker,

More information

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme

Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Optimization of Broadband RF Performance and ESD Robustness by π-model Distributed ESD Protection Scheme Ming-Dou Ker and Bing-Jye Kuo Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics,

More information

Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology

Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology Designing Shallow Trench Isolation Diodes as Electrostatic Discharge Protection for Applications in Deep Submicron CMOS Technology by Thomas Chung Kin Au B.A. Sc, University of Waterloo, 2010 Thesis Submitted

More information

ELECTROSTATIC DISCHARGE SENSITIVITY TEST METHOD. ESCC Basic Specification No

ELECTROSTATIC DISCHARGE SENSITIVITY TEST METHOD. ESCC Basic Specification No Page 1 of 16 ELECTROSTATIC DISCHARGE SENSITIVITY TEST METHOD ESCC Basic Specification Issue 2 February 2014 Document Custodian: European Space Agency see https://escies.org PAGE 2 LEGAL DISCLAIMER AND

More information

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors

1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors 1, 2, 4 and 8-Channel Very Low Capacitance ESD Protectors CM1210 Features 1,2,4 and 8 channels of ESD protection Very low loading capacitance (1.0pF typical) ±6 kv ESD protection per channel (IEC 61000-4-2

More information

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test

Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD Test IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 11, NOVEMBER 2008 2533 Investigation and Design of On-Chip Power-Rail ESD Clamp Circuits Without Suffering Latchup-Like Failure During System-Level ESD

More information

ESD Protection by Design of Chips and Microcircuits

ESD Protection by Design of Chips and Microcircuits AppendixA ESD Protection by Design of Chips and Microcircuits The drastic reduction in area and thickness of the active elements that continuously occur in semiconductor technology makes each new IC family

More information

AZ H Transient Voltage Suppressing Device For ESD/Transient Protection. Features. Circuit Diagram / Pin Configuration. Applications.

AZ H Transient Voltage Suppressing Device For ESD/Transient Protection. Features. Circuit Diagram / Pin Configuration. Applications. Features ESD Protection for Line with Bi-directional. Provide ESD protection for the protected line to IEC 6000-4- (ESD) ±0kV (air), ±kv (contact) IEC 6000-4-4 (EFT) 40A (5/50ns) IEC 6000-4-5 (Lightning)

More information

Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product

Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product Latchup Test-Induced Failure within ESD Protection Diodes in a High-Voltage CMOS IC Product I-Cheng Lin (1), Chuan-Jane Chao (1), Ming-Dou Ker (2), Jen-Chou Tseng (1), Chung-Ti Hsu (1), Len-Yi Leu (1),

More information

Microelectronics Reliability 47 (2007) Introductory Invited Paper

Microelectronics Reliability 47 (2007) Introductory Invited Paper Microelectronics Reliability 47 (2007) 27 35 Introductory Invited Paper Overview on ESD protection design for mixed-voltage interfaces with high-voltage-tolerant power-rail ESD clamp circuits in low-voltage

More information

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact)

AZC002-04S Low Capacitance ESD Protection Array For High Speed Data Interfaces Features IEC (ESD) ±15kV (air), ±8kV (contact) Features ESD Protect for 4 high-speed I/O channels Provide ESD protection for each channel to IEC 000-4- (ESD) ±kv (air), ±8kV (contact) IEC 000-4-4 (EFT) (/0ns) Level-3, 0A for I/O, 40A for Power IEC

More information

ELECTROSTATIC discharge (ESD) phenomenon continues

ELECTROSTATIC discharge (ESD) phenomenon continues IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 27, NO. 3, SEPTEMBER 2004 445 ESD Protection Design to Overcome Internal Damage on Interface Circuits of a CMOS IC With Multiple Separated

More information

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices

Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices Microelectronics Journal 37 (2006) 526 533 www.elsevier.com/locate/mejo Novel gate and substrate triggering techniques for deep sub-micron ESD protection devices O. Semenov a, *, H. Sarbishaei a, V. Axelrad

More information

THE trend of IC technology is toward smaller device dimension

THE trend of IC technology is toward smaller device dimension 24 IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY, VOL. 4, NO. 1, MARCH 2004 Abnormal ESD Failure Mechanism in High-Pin-Count BGA Packaged ICs Due to Stressing Nonconnected Balls Wen-Yu Lo and Ming-Dou

More information

SP pF 8kV DFN Plastic Unidirectional Discrete TVS

SP pF 8kV DFN Plastic Unidirectional Discrete TVS SP1053 8.5pF 8kV 01005 DFN Plastic Unidirectional Discrete TVS RoHS Pb GREEN Description Avalanche breakdown diodes fabricated in a proprietary silicon avalanche technology protect each I/O pin to provide

More information

Texas Instruments Solution for Undershoot Protection for Bus Switches

Texas Instruments Solution for Undershoot Protection for Bus Switches Application Report SCDA007 - APRIL 2000 Texas Instruments Solution for Undershoot Protection for Bus Switches Nadira Sultana and Chris Graves Standard Linear & Logic ABSTRACT Three solutions for undershoot

More information

Features. Applications

Features. Applications Description are surge rated diode arrays designed to protect high speed data interfaces. The SR series has been specifically designed to protect sensitive components which are connected to data and transmission

More information