Computing with Memory for Energy-Efficient Robust Systems

Size: px
Start display at page:

Download "Computing with Memory for Energy-Efficient Robust Systems"

Transcription

1 Computing with Memory for Energy-Efficient Robust Systems

2

3 Somnath Paul Swarup Bhunia Computing with Memory for Energy-Efficient Robust Systems 123

4 Somnath Paul Intel Labs Hillsboro, OR, USA Swarup Bhunia Department of EECS Case Western Reserve University Cleveland, OH, USA ISBN ISBN (ebook) DOI / Springer New York Heidelberg Dordrecht London Library of Congress Control Number: Springer Science+Business Media New York 2014 This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. Exempted from this legal reservation are brief excerpts in connection with reviews or scholarly analysis or material supplied specifically for the purpose of being entered and executed on a computer system, for exclusive use by the purchaser of the work. Duplication of this publication or parts thereof is permitted only under the provisions of the Copyright Law of the Publisher s location, in its current version, and permission for use must always be obtained from Springer. Permissions for use may be obtained through RightsLink at the Copyright Clearance Center. Violations are liable to prosecution under the respective Copyright Law. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. While the advice and information in this book are believed to be true and accurate at the date of publication, neither the authors nor the editors nor the publisher can accept any legal responsibility for any errors or omissions that may be made. The publisher makes no warranty, express or implied, with respect to the material contained herein. Printed on acid-free paper Springer is part of Springer Science+Business Media (

5 Preface Energy-efficiency and reliability have emerged as major barriers to performance scalability in nanometer regime. The situation is particularly worse in the case of data-intensive workloads that require handling large volume of data from the domain of multimedia, informatics, data mining, and security applications. In the post-dennard scaling era, with technology scaling only providing linear reduction in energy compared to cubic reduction in previous technology nodes, there is a renewed thrust to investigate novel computing frameworks which can continue the roadmap for energy-efficient computing. Conventional computing solutions, namely, Von-Neumann machines like general-purpose processors are incapable of satisfying the energy-efficiency requirements for data-intensive applications. The attention has therefore shifted to non-von-neumann architectures such as graphics processing units (GPUs), field-programmable gate arrays (FPGAs), and other fixed-function and reconfigurable accelerators. A close investigation of the systemlevel performance and energy bottlenecks for data-intensive applications, however, reveals that managing off-chip data movement and memory access is as important as improving the efficiency of computing solutions on-chip. For these data-heavy applications, energy required in on-chip computation constitutes only a small fraction of the total energy consumption. It is primarily contributed by transportation of the data from off-chip memory to on-chip computing elements a limitation popularly referred to as the Von-Neumann bottleneck. In such a scenario, improving the compute energy through parallel processing or on-chip hardware acceleration brings minor improvements to the total energy required by the system. Technology trends suggest that while on-chip integration density increases exponentially, offchip bandwidth improves only at a linear rate over the same period of time. Hence, there is a critical need to develop novel hardware architecture that enables energyefficient computing for diverse data-intensive applications. To address this need, in this book, we propose a novel distributed and scalable memory-centric reconfigurable hardware architecture with associated software framework for application mapping. The computing model that is realized by the hardware architecture is referred to as memory-based computing or MBC. Hardware reconfigurable systems have been demonstrated to be more energy-efficient v

6 vi Preface compared to software programmable systems. However, they often incur large area, delay, and power overhead due to the presence of a programmable interconnect. The memory-centric reconfigurable computing model proposed in this work mitigates this overhead by optimally mapping the input application in a spatiotemporal fashion to the underlying computing elements of this framework. The framework therefore retains the flexibility and energy-efficiency of reconfigurable hardware, while making them more scalable at advanced technology nodes. The computing elements for the proposed hardware are generic enough to collectively map applications of arbitrary size and granularity. The book provides comprehensive description on the hardware and software architecture for memory-based computing and presents effective circuit, architecture, and software co-optimizations, which can collectively improve the energy-efficiency of the proposed memory-centric reconfigurable architecture. We also describe the functional verifications of the applications mapped to the MBC framework using both simulation and hardware emulation-based approaches. The proposed hardware solution can be used as a stand-alone reconfigurable framework or utilized as a co-processor for data-intensive applications. This coprocessor, referred to as Memory Array centric Hardware Accelerator (MAHA), offers the following distinctive advantages compared to alternative reconfigurable accelerator platforms, e.g., FPGA, Coarse-Grained Reconfigurable Architecture (CGRA), and GPU-based acceleration: (1) it exploits high-density and low accesstime/energy of nanoscale memory for storing both data and lookup table-based function responses; (2) the block-based memory architecture is used to create large number of parallel memory-centric configurable computing resources (memorycentric nano-processors, otherwise referred to as memory logic blocks or MLBs), which can be effective for massive data-parallel applications; (3) each MLB implements a distinct instruction-set architecture optimized for data-intensive applications including support for lookup operations of varying bitwidth, support for complex fused operations, and support for mixed-granular operations; and (4) an optimal hierarchical bus-based architecture that leverages on the nature of data movements across MLBs at different levels of hierarchy. Information about data movement is localized into MLBs by including it inside the instructions, which enables effective error protection. The project codevelops a software framework for application mapping into an array of MLBs from the control and data flow description of an application kernel. The memory inside each processing element can be malleably used to either perform computation (in the form of a LUT) or store data. The framework therefore benefits a wide range of compute and data-intensive workloads. Our investigations, included in this work, demonstrate that MBC achieves significant improvement in energy-efficiency over FPGA at the same technology node. The improvement is more pronounced at scaled nodes and enhances with low-power design techniques such as voltage scaling. We establish that drastic improvements in area and energyefficiency can be achieved when the input application is expressed as a PLA and the LUT-based implementation is replaced with a content-addressable memory (CAM)- based architecture. In the nanometer regime, memories are prone to parametric and

7 Preface vii runtime failures. In this work, we demonstrate that the effect of these parametric failures can be mitigated through intelligent resource allocation step in the MBC software flow. Runtime failures were shown to be tolerated using a novel reliability aware reconfigurable error-correction code (ECC) assignment approach. In this work, we have therefore critically addressed the challenges of improving the energyefficiency and reliability of the MBC framework itself. The benefits of memory-based computing becomes even more apparent for computing with off-chip nonvolatile memory arrays. This holds for conventional NAND Flash memory architecture as well as emerging nonvolatile memory technologies such as Spin Torque Transfer Memory (STTM), which are amenable to dense nonvolatile memory design. For data-intensive applications, this architecture exploits high-density nanoscale memory to process the data in situ and enables massively data-parallel processing for multimedia, signal processing, security, and informatics applications with ultra-low power dissipation. We further improve the energy-efficiency for in-memory computing by exploring the large design space for MAHA for a variety of data-intensive applications. This involves optimizing the nonvolatile memory organization (both NAND Flash and STTM), array of nano-processors and the interconnect hierarchy. The hardware architecture for each of the nano-processors has been optimized considering the commonly used operations for these data-intensive applications. For example, the support for fused operations as well as lookup operations of varying bitwidth enables efficient application mapping. Each MLB supports mixed-granular datapath operations including random logic functions (e.g., bit manipulation functions), which can help efficient implementation of many functions in informatics and multimedia domain. Furthermore, the routing requirements are embedded into the instructions, thus making reconfiguration process more efficient. Unlike the distributed and finegrained configuration of FPGA, it enables us to efficiently protect the configuration bits using error-correction code (ECC). As we show in our work, migrating the data-intensive workload from on-chip compute solutions to an off-chip NVM-based computing framework can significantly improve system-level energy-efficiency. The improvement is, however, not constant across the board, but varies with the nature of the application. We therefore propose a formulation based on simple application behavior to determine a priori if an application can benefit from off-chip in-memory computing. Compared to general-purpose processors, data-intensive workloads therefore enjoy X improvement when migrated to the off-chip MAHA framework. Existing accelerators, including conventional FPGA, CGRA, and GPU-based accelerators can deliver the desired performance benefit, but suffer from the energy barrier owing to off-chip memory access. The proposed NVMbased MAHA architecture can alleviate this bottleneck and deliver low-power system operation for data-intensive workloads. Memory-based computing blends the benefits of spatial and temporal computing and also the goodness of software and hardware reconfigurable frameworks in a single fabric. The fact that it is memory-centric makes it particularly useful for emerging data-heavy applications like informatics and amenable to implementation using emerging dense nonvolatile memories. We also believe the new research

8 viii Preface direction put forward in this work will excite the target readership consisting of students, researchers, and practitioners and they will benefit from it. We believe that the content will also remain highly relevant in future since current technology trends and emerging technology solutions favor the case of in-memory computing frameworks. We are very grateful to all students of Nanoscale Research Lab at Case Western Reserve University for their help and support in the course of the MBC project. We also acknowledge the contribution of Prof. Saibal Mukhopadhyay and his students from the GREEN Lab at the School of Electrical and Computer Engineering, Georgia Institute of Technology. We also remain sincerely thankful to Springer, USA and all their publication staff. Hillsboro, OR, USA Cleveland, OH, USA Somnath Paul Swarup Bhunia

9 Contents Part I Introduction 1 Challenges in Computing for Nanoscale Technologies End of Dennard Scaling Sustaining Reliability of Operation Addressing Energy-Efficiency for Data-Intensive Workloads... 6 References A Survey of Computing Architectures Von-Neumann and Non-Von-Neumann Architectures Reconfigurable Computing: State of the Art Challenges in Reconfigurable Hardware Design References Motivation for a Memory-Based Computing Hardware Motivation for a New Computing Model Proposed Solution and Benefits References Part II Memory Based Computing: Overview 4 Key Features of Memory-Based Computing Computing in Memory Versus Computing with Memory Highlights of Memory-Based Computing Framework References Overview of Hardware and Software Architectures Overview of Hardware Organization and Software Flow Distinction with Existing Hardware Frameworks References ix

10 x Contents 6 Application of Memory-Based Computing Motifs Contexts Domains References Part III Hardware Framework 7 A Memory Based Generic Reconfigurable Framework A Generic Reconfigurable Framework A Generic Reconfigurable Framework with MBC References MAHA Hardware Architecture A Typical Memory Organization Instrumenting Memory for Hardware Acceleration References Part IV Software Framework 9 Application Analysis Application Description Using a CDFG Decomposition Fusion References Application Mapping to MBC Hardware Resource-Aware Scheduling Packing of Partitions to Multi-MLB Framework Placement Report Generation Bitfile Generation and Functional Validation Design Space Exploration References Part V MBC Design Space Exploration 11 Design Space Exploration for MBC Based Generic Reconfigurable Computing Framework Benchmarks and Experimental Setup MLB Architecture Exploration Comparison with a Fully-Spatial Reconfigurable Architecture References

11 Contents xi 12 Design Space Exploration for MAHA Framework Benchmarks and Simulation Setup MLB Architecture Exploration References Preferential Memory Design for MBC Frameworks Case Study I: SRAM Array Case Study II: STTRAM Array Optimization in Application Mapping References PLA Based Application Mapping in MBC Function Representation as PLA Function Representation Using CAM Based MBC Framework Power and Performance of a CAM Based MBC Framework References Part VI Off-Chip Hardware Acceleration Using MBC 15 Background and Motivation Von-Neumann Bottleneck Mitigating Von Neumann Bottleneck Through In-Memory Computing References Off-Chip MAHA Using NAND Flash Technology Overview of Current Flash Organization Modifications to Flash Array Organization ECC Computation and Modes of Operation References Improvement in Energy-Efficiency with Off-Chip MAHA Design Space Exploration for Off-Chip MAHA Energy and Performance for Mapped Applications Hardware Emulation Based Validation References Part VII Improving Reliability of Operations in MBC 18 Mitigating the Effect of Parametric Failures in MBC Frameworks Effect of Parameter Variations on QoS A Variation-Aware Preferential Mapping Approach Preferential Memory Design References

12 xii Contents 19 Mitigating the Effect of Runtime-Failures in MBC Frameworks Impact of Parameter Variation on Runtime Failures Reliability-Driven ECC Allocation for Multiple Bit Error Resilience Experimental Results References Summary

13 Acronyms CAM CPU CDFG CGRA CMOS DRAM EDP FPGA FeRAM GPP GPU LUT MBC MLB MAHA MRAM NVM PE PI PCM PLA PTM RRAM SRAM STTM VLSI Content-addressable memory Central processing unit Control data flow graph Coarse-grained reconfigurable array Complementary metal-oxide semiconductor Dynamic random-access memory Energy-delay product Field-programmable gate array Ferro-electric random access memory General purpose processor Graphics processing unit Lookup table Memory-based computing Memory logic block Malleable hardware accelerator Magnetoresistive random access memory Non-volatile memory Processing element Programmable interconnect Phase change memory Programmable logic array Predictive technology model Resistive random access memory Static random-access memory Spin-transfer torque memory Very-large-scale integration xiii

Robust SRAM Designs and Analysis

Robust SRAM Designs and Analysis Robust SRAM Designs and Analysis Jawar Singh Saraju P. Mohanty Dhiraj K. Pradhan Robust SRAM Designs and Analysis 123 Jawar Singh Indian Institute of Information Technology Design and Manufacturing Dumna

More information

Guide to OSI and TCP/IP Models

Guide to OSI and TCP/IP Models SPRINGER BRIEFS IN COMPUTER SCIENCE Mohammed M. Alani Guide to OSI and TCP/IP Models SpringerBriefs in Computer Science Series editors Stan Zdonik Peng Ning Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi

More information

Research on Industrial Security Theory

Research on Industrial Security Theory Research on Industrial Security Theory Menggang Li Research on Industrial Security Theory Menggang Li China Centre for Industrial Security Research Beijing, People s Republic of China ISBN 978-3-642-36951-3

More information

Energy-Efficient Hardware Acceleration through Computing in the Memory

Energy-Efficient Hardware Acceleration through Computing in the Memory Energy-Efficient Hardware Acceleration through Computing in the Memory Somnath Paul Intel Corporation Hillsboro, OR, USA Email: somnath.paul@intel.com Robert Karam Case Western Reserve Univ. Cleveland,

More information

Computing with Memory for. Energy-Efficient Robust Systems

Computing with Memory for. Energy-Efficient Robust Systems Computing with Memory for Energy-Efficient Robust Systems by Somnath Paul Submitted in partial fulfillment of the requirements For the degree of Doctor of Philosophy Thesis Adviser: Dr. Swarup Bhunia Department

More information

SpringerBriefs in Computer Science

SpringerBriefs in Computer Science SpringerBriefs in Computer Science Series Editors Stan Zdonik Peng Ning Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi C. Jain David Padua Xuemin (Sherman) Shen Borko Furht V.S. Subrahmanian Martial Hebert

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla Windows 10 Revealed The Universal Windows Operating System for PC, Tablets, and Windows Phone Kinnary Jangla Windows 10 Revealed Kinnary Jangla Bing Maps San Francisco, California, USA ISBN-13 (pbk): 978-1-4842-0687-4

More information

ITIL 2011 At a Glance. John O. Long

ITIL 2011 At a Glance. John O. Long ITIL 2011 At a Glance John O. Long SpringerBriefs in Computer Science Series Editors Stan Zdonik Peng Ning Shashi Shekhar Jonathan Katz Xindong Wu Lakhmi C. Jain David Padua Xuemin Shen Borko Furht VS

More information

Wireless Networks. Series Editor Xuemin Sherman Shen University of Waterloo Waterloo, Ontario, Canada

Wireless Networks. Series Editor Xuemin Sherman Shen University of Waterloo Waterloo, Ontario, Canada Wireless Networks Series Editor Xuemin Sherman Shen University of Waterloo Waterloo, Ontario, Canada More information about this series at http://www.springer.com/series/14180 Sachin Shetty Xuebiao Yuchi

More information

George Grätzer. Practical L A TEX

George Grätzer. Practical L A TEX Practical L A TEX George Grätzer Practical L A TEX 123 George Grätzer Toronto, ON, Canada Additional material to this book can be downloaded from http://extras.springer.com ISBN 978-3-319-06424-6 ISBN

More information

Jinkun Liu Xinhua Wang. Advanced Sliding Mode Control for Mechanical Systems. Design, Analysis and MATLAB Simulation

Jinkun Liu Xinhua Wang. Advanced Sliding Mode Control for Mechanical Systems. Design, Analysis and MATLAB Simulation Jinkun Liu Xinhua Wang Advanced Sliding Mode Control for Mechanical Systems Design, Analysis and MATLAB Simulation Jinkun Liu Xinhua Wang Advanced Sliding Mode Control for Mechanical Systems Design, Analysis

More information

Stefan Waldmann. Topology. An Introduction

Stefan Waldmann. Topology. An Introduction Topology Stefan Waldmann Topology An Introduction 123 Stefan Waldmann Julius Maximilian University of Würzburg Würzburg Germany ISBN 978-3-319-09679-7 ISBN 978-3-319-09680-3 (ebook) DOI 10.1007/978-3-319-09680-3

More information

Failure-Modes-Based Software Reading

Failure-Modes-Based Software Reading SPRINGER BRIEFS IN COMPUTER SCIENCE Yang-Ming Zhu Failure-Modes-Based Software Reading SpringerBriefs in Computer Science More information about this series at http://www.springer.com/series/10028 Yang-Ming

More information

Mobile Phone Security and Forensics

Mobile Phone Security and Forensics Mobile Phone Security and Forensics Iosif I. Androulidakis Mobile Phone Security and Forensics A Practical Approach Second Edition Iosif I. Androulidakis Pedini Ioannina Greece ISBN 978-3-319-29741-5

More information

Wide Area 2D/3D Imaging

Wide Area 2D/3D Imaging Wide Area 2D/3D Imaging Benjamin Langmann Wide Area 2D/3D Imaging Development, Analysis and Applications Benjamin Langmann Hannover, Germany Also PhD Thesis, University of Siegen, 2013 ISBN 978-3-658-06456-3

More information

Multidimensional Queueing Models in Telecommunication Networks

Multidimensional Queueing Models in Telecommunication Networks Multidimensional Queueing Models in Telecommunication Networks ThiS is a FM Blank Page Agassi Melikov Leonid Ponomarenko Multidimensional Queueing Models in Telecommunication Networks Agassi Melikov Department

More information

Low Level X Window Programming

Low Level X Window Programming Low Level X Window Programming Ross J. Maloney Low Level X Window Programming An Introduction by Examples 123 Dr. Ross J. Maloney Yenolam Corporation Booragoon, WA Australia ISBN 978-3-319-74249-6 ISBN

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 6, JUNE

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 6, JUNE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 23, NO. 6, JUNE 2015 1005 MAHA: An Energy-Efficient Malleable Hardware Accelerator for Data-Intensive Applications Somnath Paul, Member,

More information

Network-Embedded Management and Applications

Network-Embedded Management and Applications Network-Embedded Management and Applications Alexander Clemm Ralf Wolter Editors Network-Embedded Management and Applications Understanding Programmable Networking Infrastructure Editors Alexander Clemm

More information

MATLAB Programming for Numerical Analysis. César Pérez López

MATLAB Programming for Numerical Analysis. César Pérez López MATLAB Programming for Numerical Analysis César Pérez López MATLAB Programming for Numerical Analysis Copyright 2014 by César Pérez López This work is subject to copyright. All rights are reserved by the

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Functional Programming in R

Functional Programming in R Functional Programming in R Advanced Statistical Programming for Data Science, Analysis and Finance Thomas Mailund Functional Programming in R: Advanced Statistical Programming for Data Science, Analysis

More information

Computer Communications and Networks. Series editor A.J. Sammes Centre for Forensic Computing Cranfield University, Shrivenham campus Swindon, UK

Computer Communications and Networks. Series editor A.J. Sammes Centre for Forensic Computing Cranfield University, Shrivenham campus Swindon, UK Computer Communications and Networks Series editor A.J. Sammes Centre for Forensic Computing Cranfield University, Shrivenham campus Swindon, UK The Computer Communications and Networks series is a range

More information

Advanced Data Mining Techniques

Advanced Data Mining Techniques Advanced Data Mining Techniques David L. Olson Dursun Delen Advanced Data Mining Techniques Dr. David L. Olson Department of Management Science University of Nebraska Lincoln, NE 68588-0491 USA dolson3@unl.edu

More information

Computer Communications and Networks. Editor A.J. Sammes Centre for Forensic Computing Cranfield University Shrivenham Campus Swindon, UK

Computer Communications and Networks. Editor A.J. Sammes Centre for Forensic Computing Cranfield University Shrivenham Campus Swindon, UK Computer Communications and Networks Editor A.J. Sammes Centre for Forensic Computing Cranfield University Shrivenham Campus Swindon, UK The Computer Communications and Networks series is a range of textbooks,

More information

Introduction to Computer Networking

Introduction to Computer Networking Introduction to Computer Networking Thomas G. Robertazzi Introduction to Computer Networking 123 Thomas G. Robertazzi Department of Electrical and Computer Engineering Stony Brook University Stony Brook,

More information

Hardware Acceleration of EDA Algorithms

Hardware Acceleration of EDA Algorithms Hardware Acceleration of EDA Algorithms Kanupriya Gulati Sunil P. Khatri Hardware Acceleration of EDA Algorithms Custom ICs, FPGAs and GPUs 123 Kanupriya Gulati 109 Branchwood Trl Coppell TX 75019 USA

More information

Real-Time Graphics Rendering Engine

Real-Time Graphics Rendering Engine Hujun Bao Wei Hua Real-Time Graphics Rendering Engine With 66 figures, 11 of them in color ADVANCED TOPICS IN SCIENCE AND TECHNOLOGY IN CHINA ADVANCED TOPICS IN SCIENCE AND TECHNOLOGY IN CHINA Zhejiang

More information

Intelligent Systems Reference Library

Intelligent Systems Reference Library Intelligent Systems Reference Library Volume 145 Series editors Janusz Kacprzyk, Polish Academy of Sciences, Warsaw, Poland e-mail: kacprzyk@ibspan.waw.pl Lakhmi C. Jain, University of Canberra, Canberra,

More information

Iterative Design of Teaching-Learning Sequences

Iterative Design of Teaching-Learning Sequences Iterative Design of Teaching-Learning Sequences Dimitris Psillos Petros Kariotoglou Editors Iterative Design of Teaching- Learning Sequences Introducing the Science of Materials in European Schools Editors

More information

Application-Specific Mesh-based Heterogeneous FPGA Architectures

Application-Specific Mesh-based Heterogeneous FPGA Architectures Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez H abib Mehrez Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez Habib Mehrez Université Pierre

More information

Scheduling in Distributed Computing Systems Analysis, Design & Models

Scheduling in Distributed Computing Systems Analysis, Design & Models Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) Scheduling in Distributed Computing Systems Analysis, Design & Models (A Research Monograph) by Deo Prakash

More information

Interfacing with C++

Interfacing with C++ Interfacing with C++ Jayantha Katupitiya Kim Bentley Interfacing with C++ Programming Real-World Applications ABC Dr. Jayantha Katupitiya Senior Lecturer School of Mechanical and Manufacturing Engineering

More information

The Dark Side of Silicon

The Dark Side of Silicon The Dark Side of Silicon Amir M. Rahmani Pasi Liljeberg Ahmed Hemani Axel Jantsch Hannu Tenhunen Editors The Dark Side of Silicon Energy Efficient Computing in the Dark Silicon Era 123 Editors Amir M.

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

Computer Architecture

Computer Architecture Computer Architecture Springer-Verlag Berlin Heidelberg GmbH Silvia M. Mueller Wolfgang J. Paul Computer Architecture Complexity and Correctness With 214 Figures and 185 Tables Springer Silvia Melitta

More information

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs

NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs NEW APPROACHES TO HARDWARE ACCELERATION USING ULTRA LOW DENSITY FPGAs August 2013 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

Julien Masanès. Web Archiving. With 28 Figures and 6 Tables ABC

Julien Masanès. Web Archiving. With 28 Figures and 6 Tables ABC Web Archiving Julien Masanès Web Archiving With 28 Figures and 6 Tables ABC Author Julien Masanès European Web Archive 25 rue des envierges 75020 Paris, France julien.masanes@bnf.fr ACM Computing Classification

More information

Essential Angular for ASP.NET Core MVC

Essential Angular for ASP.NET Core MVC Essential Angular for ASP.NET Core MVC Adam Freeman Essential Angular for ASP.NET Core MVC Adam Freeman London, UK ISBN-13 (pbk): 978-1-4842-2915-6 ISBN-13 (electronic): 978-1-4842-2916-3 DOI 10.1007/978-1-4842-2916-3

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog Digital VLSI Design with Verilog John Michael Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Polytechnic Institute Second Edition John Michael Williams Wilsonville, OR USA Additional

More information

Contents. Acknowledgments... xi. Foreword 1... xiii Pierre FICHEUX. Foreword 2... xv Maryline CHETTO. Part 1. Introduction... 1

Contents. Acknowledgments... xi. Foreword 1... xiii Pierre FICHEUX. Foreword 2... xv Maryline CHETTO. Part 1. Introduction... 1 Contents Acknowledgments... xi Foreword 1... xiii Pierre FICHEUX Foreword 2... xv Maryline CHETTO Part 1. Introduction... 1 Chapter 1. General Introduction... 3 1.1. The outburst of digital data... 3 1.2.

More information

LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS

LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS DINESH C. VERMA IBM T. J. Watson Research Center A JOHN WILEY & SONS, INC., PUBLICATION LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS LEGITIMATE APPLICATIONS

More information

Heterogeneous Multicore Processor Technologies for Embedded Systems

Heterogeneous Multicore Processor Technologies for Embedded Systems Heterogeneous Multicore Processor Technologies for Embedded Systems Kunio Uchiyama Fumio Arakawa Hironori Kasahara Tohru Nojiri Hideyuki Noda Yasuhiro Tawara Akio Idehara Kenichi Iwata Hiroaki Shikano

More information

Contributions to Economics

Contributions to Economics Contributions to Economics Kesra Nermend Vector Calculus in Regional Development Analysis Comparative Regional Analysis Using the Example of Poland Physica Verlag A Springer Company Dr. inž. Kesra Nermend

More information

LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS DINESH C. VERMA IBM T. J. Watson Research Center A JOHN WILEY & SONS, INC., PUBLICATION

LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS DINESH C. VERMA IBM T. J. Watson Research Center A JOHN WILEY & SONS, INC., PUBLICATION LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS DINESH C. VERMA IBM T. J. Watson Research Center A JOHN WILEY & SONS, INC., PUBLICATION LEGITIMATE APPLICATIONS OF PEER-TO-PEER NETWORKS LEGITIMATE APPLICATIONS

More information

Introduction to reconfigurable systems

Introduction to reconfigurable systems Introduction to reconfigurable systems Reconfigurable system (RS)= any system whose sub-system configurations can be changed or modified after fabrication Reconfigurable computing (RC) is commonly used

More information

High Availability and Disaster Recovery

High Availability and Disaster Recovery High Availability and Disaster Recovery Klaus Schmidt High Availability and Disaster Recovery Concepts, Design, Implementation With 83 Figures and 26 Tables 123 Klaus Schmidt Stockheimer Str. 20 60385

More information

TASK SCHEDULING FOR PARALLEL SYSTEMS

TASK SCHEDULING FOR PARALLEL SYSTEMS TASK SCHEDULING FOR PARALLEL SYSTEMS Oliver Sinnen Department of Electrical and Computer Engineering The University of Aukland New Zealand TASK SCHEDULING FOR PARALLEL SYSTEMS TASK SCHEDULING FOR PARALLEL

More information

SpringerBriefs in Computer Science

SpringerBriefs in Computer Science SpringerBriefs in Computer Science Series editors Stan Zdonik, Brown University, Providence, Rhode Island, USA Shashi Shekhar, University of Minnesota, Minneapolis, Minnesota, USA Xindong Wu, University

More information

Stereo Scene Flow for 3D Motion Analysis

Stereo Scene Flow for 3D Motion Analysis Stereo Scene Flow for 3D Motion Analysis Andreas Wedel Daniel Cremers Stereo Scene Flow for 3D Motion Analysis Dr. Andreas Wedel Group Research Daimler AG HPC 050 G023 Sindelfingen 71059 Germany andreas.wedel@daimler.com

More information

Java Quick Syntax Reference. Second Edition. Mikael Olsson

Java Quick Syntax Reference. Second Edition. Mikael Olsson Java Quick Syntax Reference Second Edition Mikael Olsson Java Quick Syntax Reference Second Edition Mikael Olsson Java Quick Syntax Reference Mikael Olsson Hammarland, Länsi-Suomi, Finland ISBN-13 (pbk):

More information

Gengsheng Lawrence Zeng. Medical Image Reconstruction. A Conceptual Tutorial

Gengsheng Lawrence Zeng. Medical Image Reconstruction. A Conceptual Tutorial Gengsheng Lawrence Zeng Medical Image Reconstruction A Conceptual Tutorial Gengsheng Lawrence Zeng Medical Image Reconstruction A Conceptual Tutorial With 163 Figures Author Prof. Dr. Gengsheng Lawrence

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

JavaScript Quick Syntax Reference

JavaScript Quick Syntax Reference JavaScript Quick Syntax Reference Mikael Olsson JavaScript Quick Syntax Reference Copyright 2015 by Mikael Olsson This work is subject to copyright. All rights are reserved by the Publisher, whether the

More information

Energy-Efficient Application Mapping in FPGA through Computation in Embedded Memory Blocks

Energy-Efficient Application Mapping in FPGA through Computation in Embedded Memory Blocks 2012 25th International Conference on VLSI Design Energy-Efficient Application Mapping in FPGA through Computation in Embedded Memory Blocks Anandaroop Ghosh, Somnath Paul and Swarup Bhunia Department

More information

Software Development for SAP R/3

Software Development for SAP R/3 Software Development for SAP R/3 Springer-Verlag Berlin Heidelberg GmbH Ulrich Mende Software Development for SAP R/3 Data Dictionary, ABAP/4, Interfaces With Diskette With 124 Figures and Many Example

More information

Reconfigurable Computing. Introduction

Reconfigurable Computing. Introduction Reconfigurable Computing Tony Givargis and Nikil Dutt Introduction! Reconfigurable computing, a new paradigm for system design Post fabrication software personalization for hardware computation Traditionally

More information

Symmetric Cryptographic Protocols

Symmetric Cryptographic Protocols Symmetric Cryptographic Protocols Mahalingam Ramkumar Symmetric Cryptographic Protocols 2123 Mahalingam Ramkumar Mississippi State University Mississippi State Mississippi USA ISBN 978-3-319-07583-9 ISBN

More information

Enabling Technologies for Wireless E-Business

Enabling Technologies for Wireless E-Business Enabling Technologies for Wireless E-Business Weidong Kou Yelena Yesha (Eds.) Enabling Technologies for Wireless E-Business With 141 Figures and 15 Tables ABC Editors Weidong Kou Chinese State Key Laboratory

More information

Objective-C Quick Syntax Reference

Objective-C Quick Syntax Reference Objective-C Quick Syntax Reference Matthew Campbell Objective-C Quick Syntax Reference Copyright 2014 by Matthew Campbell This work is subject to copyright. All rights are reserved by the Publisher, whether

More information

Digital Functions and Data Reconstruction

Digital Functions and Data Reconstruction Digital Functions and Data Reconstruction Li M. Chen Digital Functions and Data Reconstruction Digital-Discrete Methods 123 Li M. Chen University of the District of Columbia Washington, DC, USA ISBN 978-1-4614-5637-7

More information

More Course Information

More Course Information More Course Information Labs and lectures are both important Labs: cover more on hands-on design/tool/flow issues Lectures: important in terms of basic concepts and fundamentals Do well in labs Do well

More information

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Yijie Huangfu and Wei Zhang Department of Electrical and Computer Engineering Virginia Commonwealth University {huangfuy2,wzhang4}@vcu.edu

More information

1314 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 6, JUNE 2014

1314 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 6, JUNE 2014 1314 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 6, JUNE 2014 Improving Energy Efficiency in FPGA Through Judicious Mapping of Computation to Embedded Memory Blocks Anandaroop

More information

Microsoft Computer Vision APIs Distilled

Microsoft Computer Vision APIs Distilled Microsoft Computer Vision APIs Distilled Getting Started with Cognitive Services Alessandro Del Sole Microsoft Computer Vision APIs Distilled Alessandro Del Sole Cremona, Italy ISBN-13 (pbk): 978-1-4842-3341-2

More information

Design Methodologies

Design Methodologies Design Methodologies 1981 1983 1985 1987 1989 1991 1993 1995 1997 1999 2001 2003 2005 2007 2009 Complexity Productivity (K) Trans./Staff - Mo. Productivity Trends Logic Transistor per Chip (M) 10,000 0.1

More information

The Information Retrieval Series. Series Editor W. Bruce Croft

The Information Retrieval Series. Series Editor W. Bruce Croft The Information Retrieval Series Series Editor W. Bruce Croft Sándor Dominich The Modern Algebra of Information Retrieval 123 Sándor Dominich Computer Science Department University of Pannonia Egyetem

More information

Power Measurement Using Performance Counters

Power Measurement Using Performance Counters Power Measurement Using Performance Counters October 2016 1 Introduction CPU s are based on complementary metal oxide semiconductor technology (CMOS). CMOS technology theoretically only dissipates power

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

MATLAB Numerical Calculations. César Pérez López

MATLAB Numerical Calculations. César Pérez López MATLAB Numerical Calculations César Pérez López MATLAB Numerical Calculations Copyright 2014 by César Pérez López This work is subject to copyright. All rights are reserved by the Publisher, whether the

More information

Pro MERN Stack. Full Stack Web App Development with Mongo, Express, React, and Node. Vasan Subramanian

Pro MERN Stack. Full Stack Web App Development with Mongo, Express, React, and Node. Vasan Subramanian Pro MERN Stack Full Stack Web App Development with Mongo, Express, React, and Node Vasan Subramanian Pro MERN Stack Vasan Subramanian Bangalore, Karnataka, India ISBN-13 (pbk): 978-1-4842-2652-0 ISBN-13

More information

CMPE 415 Programmable Logic Devices FPGA Technology I

CMPE 415 Programmable Logic Devices FPGA Technology I Department of Computer Science and Electrical Engineering CMPE 415 Programmable Logic Devices FPGA Technology I Prof. Ryan Robucci Some slides (blue-frame) developed by Jim Plusquellic Some images credited

More information

C Quick Syntax Reference

C Quick Syntax Reference C Quick Syntax Reference Mikael Olsson C Quick Syntax Reference Copyright 2015 by Mikael Olsson This work is subject to copyright. All rights are reserved by the Publisher, whether the whole or part of

More information

Annual Update on Flash Memory for Non-Technologists

Annual Update on Flash Memory for Non-Technologists Annual Update on Flash Memory for Non-Technologists Jay Kramer, Network Storage Advisors & George Crump, Storage Switzerland August 2017 1 Memory / Storage Hierarchy Flash Memory Summit 2017 2 NAND Flash

More information

Hybrid Memory Platform

Hybrid Memory Platform Hybrid Memory Platform Kenneth Wright, Sr. Driector Rambus / Emerging Solutions Division Join the Conversation #OpenPOWERSummit 1 Outline The problem / The opportunity Project goals Roadmap - Sub-projects/Tracks

More information

Big and Fast. Anti-Caching in OLTP Systems. Justin DeBrabant

Big and Fast. Anti-Caching in OLTP Systems. Justin DeBrabant Big and Fast Anti-Caching in OLTP Systems Justin DeBrabant Online Transaction Processing transaction-oriented small footprint write-intensive 2 A bit of history 3 OLTP Through the Years relational model

More information

The Architectural Logic of Database Systems

The Architectural Logic of Database Systems The Architectural Logic of Database Systems E. J. Yannakoudakis The Architectural Logic of Database Systems With 69 Figures Springer-Verlag london Berlin Heidelberg New York Paris Tokyo E. 1. Yannakoudakis,

More information

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163

UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 UNIT 4 INTEGRATED CIRCUIT DESIGN METHODOLOGY E5163 LEARNING OUTCOMES 4.1 DESIGN METHODOLOGY By the end of this unit, student should be able to: 1. Explain the design methodology for integrated circuit.

More information

English for Academic Research. Series editor Adrian Wallwork Pisa Italy

English for Academic Research. Series editor Adrian Wallwork Pisa Italy English for Academic Research Series editor Adrian Wallwork Pisa Italy This series aims to help non-native, English-speaking researchers communicate in English. The books in this series are designed like

More information

Learn PHP 7. Object-Oriented Modular Programming using HTML5, CSS3, JavaScript, XML, JSON, and MySQL. Steve Prettyman

Learn PHP 7. Object-Oriented Modular Programming using HTML5, CSS3, JavaScript, XML, JSON, and MySQL. Steve Prettyman THE EXPERT S VOICE IN WEB DEVELOPMENT Learn PHP 7 Object-Oriented Modular Programming using HTML5, CSS3, JavaScript, XML, JSON, and MySQL Steve Prettyman Learn PHP 7 Object-Oriented Modular Programming

More information

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs Vaughn Betz Jonathan Rose Alexander Marquardt

More information

DRAM and Storage-Class Memory (SCM) Overview

DRAM and Storage-Class Memory (SCM) Overview Page 1 of 7 DRAM and Storage-Class Memory (SCM) Overview Introduction/Motivation Looking forward, volatile and non-volatile memory will play a much greater role in future infrastructure solutions. Figure

More information

Fundamentals of Operating Systems. Fifth Edition

Fundamentals of Operating Systems. Fifth Edition Fundamentals of Operating Systems Fifth Edition Fundamentals of Operating Systems A.M. Lister University of Queensland R. D. Eager University of Kent at Canterbury Fifth Edition Springer Science+Business

More information

Preface. This Book and Simulation Software Bundle Project

Preface. This Book and Simulation Software Bundle Project Preface This Book and Simulation Software Bundle Project Dear Reader, this book project brings to you a unique study tool for ESD protection solutions used in analog-integrated circuit (IC) design. Quick-start

More information

Solving the Non-Volatile Memory Conundrum for Deep Learning Workloads

Solving the Non-Volatile Memory Conundrum for Deep Learning Workloads Solving the Non-Volatile Memory Conundrum for Deep Learning Workloads Ahmet Inci and Diana Marculescu Department of Electrical and Computer Engineering Carnegie Mellon University ainci@andrew.cmu.edu Architectures

More information

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS

SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS SOLVING THE DRAM SCALING CHALLENGE: RETHINKING THE INTERFACE BETWEEN CIRCUITS, ARCHITECTURE, AND SYSTEMS Samira Khan MEMORY IN TODAY S SYSTEM Processor DRAM Memory Storage DRAM is critical for performance

More information

Cache/Memory Optimization. - Krishna Parthaje

Cache/Memory Optimization. - Krishna Parthaje Cache/Memory Optimization - Krishna Parthaje Hybrid Cache Architecture Replacing SRAM Cache with Future Memory Technology Suji Lee, Jongpil Jung, and Chong-Min Kyung Department of Electrical Engineering,KAIST

More information

Proposers Day Workshop

Proposers Day Workshop Proposers Day Workshop Monday, January 23, 2017 @srcjump, #JUMPpdw Intelligent Memory and Storage Vertical Research Center Sean Eilert Fellow Micron Technology High Level Overview Conventional Bottlenecks

More information

CS671 Parallel Programming in the Many-Core Era

CS671 Parallel Programming in the Many-Core Era CS671 Parallel Programming in the Many-Core Era Lecture 1: Introduction Zheng Zhang Rutgers University CS671 Course Information Instructor information: instructor: zheng zhang website: www.cs.rutgers.edu/~zz124/

More information

Computer Architecture s Changing Definition

Computer Architecture s Changing Definition Computer Architecture s Changing Definition 1950s Computer Architecture Computer Arithmetic 1960s Operating system support, especially memory management 1970s to mid 1980s Computer Architecture Instruction

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Geometric Modeling and Algebraic Geometry

Geometric Modeling and Algebraic Geometry Geometric Modeling and Algebraic Geometry Bert Jüttler Ragni Piene Editors Geometric Modeling and Algebraic Geometry 123 Bert Jüttler Institute of Applied Geometry Johannes Kepler University Altenberger

More information

Energy Efficient Microprocessor Design

Energy Efficient Microprocessor Design Energy Efficient Microprocessor Design Energy Efficient Microprocessor Design by Thomas D. Burd Robert W. Brodersen with Contributions Irom Trevor Pering Anthony Stratakos Berkeley Wireless Research Center

More information

New Embedded NVM architectures

New Embedded NVM architectures New Embedded NVM architectures for Secure & Low Power Microcontrollers Jean DEVIN, Bruno LECONTE Microcontrollers, Memories & Smartcard Group STMicroelectronics 11 th LETI Annual review, June 24th, 2009

More information

Building Custom Tasks for SQL Server Integration Services

Building Custom Tasks for SQL Server Integration Services Building Custom Tasks for SQL Server Integration Services Andy Leonard Building Custom Tasks for SQL Server Integration Services Andy Leonard Farmville, Virginia, USA ISBN-13 (pbk): 978-1-4842-2939-2 ISBN-13

More information

Multi processor systems with configurable hardware acceleration

Multi processor systems with configurable hardware acceleration Multi processor systems with configurable hardware acceleration Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Davide Rossi Ph.D Tutor: Prof. Roberto Guerrieri Outline Motivations

More information

Polymeric Biomaterials for Tissue Regeneration

Polymeric Biomaterials for Tissue Regeneration Polymeric Biomaterials for Tissue Regeneration Changyou Gao Editor Polymeric Biomaterials for Tissue Regeneration From Surface/Interface Design to 3D Constructs Editor Changyou Gao Department of Polymer

More information

THE DESIGNER S GUIDE TO VERILOG-AMS

THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE TO VERILOG-AMS THE DESIGNER S GUIDE BOOK SERIES Consulting Editor Kenneth S. Kundert Books in the series: The Designer s Guide to Verilog-AMS ISBN: 1-00-80-1 The Designer s Guide to

More information

COMPONENT-ORIENTED PROGRAMMING

COMPONENT-ORIENTED PROGRAMMING COMPONENT-ORIENTED PROGRAMMING COMPONENT-ORIENTED PROGRAMMING ANDY JU AN WANG KAI QIAN Southern Polytechnic State University Marietta, Georgia A JOHN WILEY & SONS, INC., PUBLICATION Copyright 2005 by John

More information