ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

Size: px
Start display at page:

Download "ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs"

Transcription

1 ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs

2 THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE

3 ARCHITECTURE AND CAD FOR DEEP-SUBMICRON FPGAs Vaughn Betz Jonathan Rose Alexander Marquardt University of Toronto Springer Science+Business Media, LLC

4 Library of Congress Cataloging-in-Publication Data Betz, Vaughn. Architecture and CAD for deep-submicron FPGAs / Vaughn Betz, Jonathan Rose, Alexander Marquardt. p. cm. - (Kluwer international series in engineering and computer science ; SECS 497) Includes bibliographical references and index. ISBN ISBN (ebook) DOI / Field programmable gate arrays Design and construction Data processing. 2. Programmable array logic. 3. Computer-aided design. I. Rose, Jonathan. II. Marquardt, Alexander. III. Title. IV. Series. TK7895.G36B '5--dc CIP Third Printing Copyright 1999 by Springer Science+Business Media New York Originally published by Kluwer Academic Publishers in 1999 Softcover reprint of the hardcover 1st edition 1999 All rights reserved. No part of this publication may be reproduced, stored in a retrieval system or transmitted in any form or by any means, mechanical, photocopying, recording, or otherwise, without the prior written permission of the publisher, Springer Science+Business Media, LLC. Printed on acid-free paper. This printing is a digital duplication of the original edition.

5 To Corinne, William, and Isabel, Betty and Frank Rose, Marie and Ron

6 Table of Contents CHAPTER 1 Introduction Overview of FPGAs FPGA Architectural Issues Approach and CAD Tools Book Organization Acknowledgments... 9 CHAPTER 2 Background and Previous Work... ~ FPGA Architecture...' FPGA Programming Technologies FPGA Logic Block Architecture FPGA Routing Architecture CAD for FPGAs Synthesis and Logic Block Packing Placement Routing Delay Modelling Timing Analysis Summary CHAPTER 3 CAD Tools: Packing and Placement Logic Block Packing Cluster-Based Logic Blocks Basic Logic Block Packing Algorithm: VPack Timing-Driven Logic Block Packing: T-VPack Cluster Seed and Attraction Function Computational Complexity vs. Frequency of Timing Analysis... 47

7 viii Table of Contents Result Quality oft-vpack vs. VPack Placement: VPR Overview of the VPR Placement Tool New Adaptive Annealing Schedule New Cost Function: Linear Congestion Incremental Net Bounding Box Updates Summary CHAPTER 4 Routing Tools and Routing Architecture Generation Position within the CAD flow Architecture Parameterization and Generation Architecture Parameterization The Routing-Resource Graph Automatic Architecture Generation from Parameters Routability-Driven Router Cost Functions and Routing Schedules Speed Enhancements Timing-Driven Router Superiority of Elmore Delay to the Linear Delay Model Directly Optimizing the Elmore Delay Net Routing Algorithm Complexity Dynamic Base Costs Routing Schedule Delay Extraction and Timing Analysis Router and Placement Algorithm Validation Routability-Driven Router and Placement Algorithm Experimental Results with Input-Pin Doglegs Experimental Results Without Input Pin Doglegs Timing-Driven Router Summary CHAPTER 5 Global Routing Architecture Motivation Experimental Methodology

8 Table of Contents Ix CAD Flow..., Area-Efficiency Metric Significant FPGA Architectural Details Experimental Results: Directionally-Biased Routing Results for Square Logic Block Arrays Results for Rectangular Logic Block Arrays Experimental Results: Non-Uniform Routing CenterlEdge Capacity Ratio Single Center Channel Channel Summary CHAPTER 6 Cluster-Based Logic Blocks Motivation Experimental Methodology CAD Flow Area Model Delay Model Architecture Evaluation Metric: Area-Delay Product FPGA Architectural Assumptions Basic Architecture Routing Architecture Effect of Cluster Size on the Physical Length of FPGA Routing Segments Sizing Routing Transistors to Compensate for Different Physical Segment Lengths Cluster Inputs Required vs. Cluster Size Flexibility of Logic Block to Routing Interconnect vs. Cluster Size Speed and Area-Efficiency vs. Cluster Size Discussion of Delay vs. Cluster Size Results Effect of Cluster Size on Compile Time Summary

9 x Table of Contents CHAPTER 7 Detailed Routing Architecture Motivation Experimental Methodology FPGA Architectural Assumptions CAD Flow Delay Model Accuracy Area Model Importance of a Detailed Area Model Experimental Philosophy Single Wire Length Architectures Switch Block Issues Best Single Wire Length Amount of Connectivity Between Logic Blocks and Channels Two Types of Wire Segment Architectures Tri-State Buffer Routing Switches Only Length 4 Buffered Wires Plus Pass-Transistor-Switched Wires Length 8 Buffered Wires Plus Pass-Transistor-Switched Wires Length 4 Pass-Transistor-Switched Wires Plus Buffered Wires Internal Population All Length 4 Buffered Wires Two-Wire-TypeArchitectures Wire Spacing for Speed Overall Architecture Comparison Summary..., CHAPTER 8 Conclusions and Future Work Summary and Contributions Future Work CAD Tool Enhancements Future FPGA Architecture Research APPENDIX A Graphic Visualization in VPR APPENDIX B FPGA Circuitry and Process Modeling B.l Transistor-Level Schematics and Assumptions

10 Table of Contents xi B.1.1 FPGA Routing Structures Gate Boosting Buffers Connection Block to Logic Block Input Pins B.1.2 Logic Block Structures B.2 Delay and RC-Equivalent Circuit Extraction APPENDIX C Sizing of Routing Transistors and Metal C.1 Sizing Pass Transistor Routing Switches C.2 Sizing Tri-State Buffer Routing Switches C.3 Tri-State Buffers in Output Pin Connection Blocks CA Metal Width and Spacing References Index

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Niyati Shah Department of ECE University of Toronto

Niyati Shah Department of ECE University of Toronto Niyati Shah Department of ECE University of Toronto shahniya@eecg.utoronto.ca Jonathan Rose Department of ECE University of Toronto jayar@eecg.utoronto.ca 1 Involves connecting output pins of logic blocks

More information

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose Right Track CAD Corp. #313-72 Spadina Ave. Toronto, ON, Canada M5S 2T9 {arm, vaughn,

More information

HIGH-LEVEL SYNTHESIS FOR REAL-TIME DIGITAL SIGNAL PROCESSING

HIGH-LEVEL SYNTHESIS FOR REAL-TIME DIGITAL SIGNAL PROCESSING HIGH-LEVEL SYNTHESIS FOR REAL-TIME DIGITAL SIGNAL PROCESSING THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE VLSI, COMPUTER ARCHITECfURE AND DIGITAL SIGNAL PROCESSING Latest Titles

More information

Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs

Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs Cluster-Based Architecture, Timing-Driven Packing and Timing-Driven Placement for FPGAs by Alexander R. Marquardt A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE

THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOG? HARDWARE DESCRIPTION LANGUAGE THE VERILOGf HARDWARE DESCRIPTION LANGUAGE by Donald E. Thomas Carnegie Mellon University and Philip R. Moorby Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS

More information

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures by Daniele G Paladino A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition

Philip Andrew Simpson. FPGA Design. Best Practices for Team-based Reuse. Second Edition FPGA Design Philip Andrew Simpson FPGA Design Best Practices for Team-based Reuse Second Edition Philip Andrew Simpson San Jose, CA, USA ISBN 978-3-319-17923-0 DOI 10.1007/978-3-319-17924-7 ISBN 978-3-319-17924-7

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

Reducing Power in an FPGA via Computer-Aided Design

Reducing Power in an FPGA via Computer-Aided Design Reducing Power in an FPGA via Computer-Aided Design Steve Wilton University of British Columbia Power Reduction via CAD How to reduce power dissipation in an FPGA: - Create power-aware CAD tools - Create

More information

Automatic Generation of FPGA Routing Architectures from High-Level Descriptions

Automatic Generation of FPGA Routing Architectures from High-Level Descriptions Automatic Generation of FPGA Routing Architectures from High-Level Descriptions Vaughn Betz and Jonathan Rose {vaughn, jayar}@rtrack.com Right Track CAD Corp., Dept. of Electrical and Computer Engineering,

More information

Energy Efficient Microprocessor Design

Energy Efficient Microprocessor Design Energy Efficient Microprocessor Design Energy Efficient Microprocessor Design by Thomas D. Burd Robert W. Brodersen with Contributions Irom Trevor Pering Anthony Stratakos Berkeley Wireless Research Center

More information

The Stratix TM Routing and Logic Architecture

The Stratix TM Routing and Logic Architecture The Stratix TM Routing and Logic Architecture David Lewis*, Vaughn Betz*, David Jefferson, Andy Lee, Chris Lane, Paul Leventis*, Sandy Marquardt*, Cameron McClintock, Bruce Pedersen, Giles Powell, Srinivas

More information

Architecture Evaluation for

Architecture Evaluation for Architecture Evaluation for Power-efficient FPGAs Fei Li*, Deming Chen +, Lei He*, Jason Cong + * EE Department, UCLA + CS Department, UCLA Partially supported by NSF and SRC Outline Introduction Evaluation

More information

PERFORMANCE ANALYSIS OF REAL-TIME EMBEDDED SOFTWARE

PERFORMANCE ANALYSIS OF REAL-TIME EMBEDDED SOFTWARE PERFORMANCE ANALYSIS OF REAL-TIME EMBEDDED SOFTWARE PERFORMANCE ANALYSIS OF REAL-TIME EMBEDDED SOFTWARE Yau-Tsun Steven Li Monterey Design Systems, Inc. Sharad Malik Princeton University ~. " SPRINGER

More information

MULTIMEDIA DATABASE MANAGEMENT SYSTEMS

MULTIMEDIA DATABASE MANAGEMENT SYSTEMS MULTIMEDIA DATABASE MANAGEMENT SYSTEMS THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE MULTIMEDIA SYSTEMS AND APPLICATIONS Recently Published Titles: Consulting Editor Borko Furht Florida

More information

MINING VERY LARGE DATABASES WITH PARALLEL PROCESSING

MINING VERY LARGE DATABASES WITH PARALLEL PROCESSING MINING VERY LARGE DATABASES WITH PARALLEL PROCESSING The Kluwer International Series on ADVANCES IN DATABASE SYSTEMS Series Editor Ahmed K. Elmagarmid Purdue University West Lafayette, IN 47907 Other books

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

MODULAR PARTITIONING FOR INCREMENTAL COMPILATION

MODULAR PARTITIONING FOR INCREMENTAL COMPILATION MODULAR PARTITIONING FOR INCREMENTAL COMPILATION Mehrdad Eslami Dehkordi, Stephen D. Brown Dept. of Electrical and Computer Engineering University of Toronto, Toronto, Canada email: {eslami,brown}@eecg.utoronto.ca

More information

Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits

Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits Using Bus-Based Connections to Improve Field-Programmable Gate Array Density for Implementing Datapath Circuits Andy Ye and Jonathan Rose The Edward S. Rogers Sr. Department of Electrical and Computer

More information

Topological Structure and Analysis of Interconnection Networks

Topological Structure and Analysis of Interconnection Networks Topological Structure and Analysis of Interconnection Networks Network Theory and Applications Volume 7 Managing Editors: Ding-Zhu Du, University of Minnesota, U.S.A. and Cauligi Raghavendra, University

More information

3. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments

3. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments . Xilinx, Inc., The Programmable Logic Data Book, 99.. G. G. Lemieux and S. D. Brown, ëa detailed router for allocating wire segments in æeld-programmable gate arrays," in Proceedings of the ACM Physical

More information

Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs. Yingxuan Liu

Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs. Yingxuan Liu Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs by Yingxuan Liu A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni

FLASH MEMORIES SPRINGER-SCIENCE+BUSINESS MEDIA, LLC. Paulo Cappelletti. Carla Golla. PieroOlivo. Enrico Zanoni FLASH MEMORIES FLASH MEMORIES By Paulo Cappelletti Carla Golla PieroOlivo Enrico Zanoni SPRINGER-SCIENCE+BUSINESS MEDIA, LLC Library of Congress Cataloging-in-Publication Data Flash memories 1 by Paulo

More information

OPTIMIZATION OF TRANSISTOR-LEVEL FLOORPLANS FOR FIELD-PROGRAMMABLE GATE ARRAYS. Ryan Fung. Supervisor: Jonathan Rose. April 2002

OPTIMIZATION OF TRANSISTOR-LEVEL FLOORPLANS FOR FIELD-PROGRAMMABLE GATE ARRAYS. Ryan Fung. Supervisor: Jonathan Rose. April 2002 OPTIMIZATION OF TRANSISTOR-LEVEL FLOORPLANS FOR FIELD-PROGRAMMABLE GATE ARRAYS by Ryan Fung Supervisor: Jonathan Rose April 2002 OPTIMIZATION OF TRANSISTOR-LEVEL FLOORPLANS FOR FIELD-PROGRAMMABLE GATE

More information

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors RPack: Rability-Driven packing for cluster-based FPGAs E. Bozorgzadeh S. Ogrenci-Memik M. Sarrafzadeh Computer Science Department Department ofece Computer Science Department UCLA Northwestern University

More information

Using Sparse Crossbars within LUT Clusters

Using Sparse Crossbars within LUT Clusters Using Sparse Crossbars within LUT Clusters Guy Lemieux Dept. of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada M5S 3G4 lemieux@eecg.toronto.edu David Lewis Dept. of

More information

Exploring Logic Block Granularity for Regular Fabrics

Exploring Logic Block Granularity for Regular Fabrics 1530-1591/04 $20.00 (c) 2004 IEEE Exploring Logic Block Granularity for Regular Fabrics A. Koorapaty, V. Kheterpal, P. Gopalakrishnan, M. Fu, L. Pileggi {aneeshk, vkheterp, pgopalak, mfu, pileggi}@ece.cmu.edu

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

HIGH-SPEED COMMUNICATION NETWORKS

HIGH-SPEED COMMUNICATION NETWORKS HIGH-SPEED COMMUNICATION NETWORKS HIGH-SPEED COMMUNICATION NETWORKS Edited by Harry Perros North Carolina State University Raleigh, North Carolina Springer Science+Busines s Media, LL C Library of Congress

More information

On pin-to-wire routing in FPGAs. Niyati Shah

On pin-to-wire routing in FPGAs. Niyati Shah On pin-to-wire routing in FPGAs by Niyati Shah A thesis submitted in conformity with the requirements for the degree of Master of Applied Science and Engineering Graduate Department of Electrical & Computer

More information

TROUTE: A Reconfigurability-aware FPGA Router

TROUTE: A Reconfigurability-aware FPGA Router TROUTE: A Reconfigurability-aware FPGA Router Karel Bruneel and Dirk Stroobandt Hardware and Embedded Systems Group, ELIS Dept., Ghent University, Sint-Pietersnieuwstraat 4, B-9000 Gent, Belgium {karel.bruneel;dirk.stroobandt}@ugent.be

More information

Designing Heterogeneous FPGAs with Multiple SBs *

Designing Heterogeneous FPGAs with Multiple SBs * Designing Heterogeneous FPGAs with Multiple SBs * K. Siozios, S. Mamagkakis, D. Soudris, and A. Thanailakis VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus

More information

Application-Specific Mesh-based Heterogeneous FPGA Architectures

Application-Specific Mesh-based Heterogeneous FPGA Architectures Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez H abib Mehrez Application-Specific Mesh-based Heterogeneous FPGA Architectures Husain Parvez Habib Mehrez Université Pierre

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

An automatic tool flow for the combined implementation of multi-mode circuits

An automatic tool flow for the combined implementation of multi-mode circuits An automatic tool flow for the combined implementation of multi-mode circuits Brahim Al Farisi, Karel Bruneel, João M. P. Cardoso and Dirk Stroobandt Ghent University, ELIS Department Sint-Pietersnieuwstraat

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Variation Aware Routing for Three-Dimensional FPGAs

Variation Aware Routing for Three-Dimensional FPGAs Variation Aware Routing for Three-Dimensional FPGAs Chen Dong, Scott Chilstedt, and Deming Chen Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign {cdong3, chilste1,

More information

ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS

ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS ON THE INTERACTION BETWEEN POWER-AWARE FPGA CAD ALGORITHMS ABSTRACT As Field-Programmable Gate Array (FPGA) power consumption continues to increase, lower power FPGA circuitry, architectures, and Computer-Aided

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

FPGA Clock Network Architecture: Flexibility vs. Area and Power

FPGA Clock Network Architecture: Flexibility vs. Area and Power FPGA Clock Network Architecture: Flexibility vs. Area and Power Julien Lamoureux and Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C.,

More information

A Routing Approach to Reduce Glitches in Low Power FPGAs

A Routing Approach to Reduce Glitches in Low Power FPGAs A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin Wong Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign This research

More information

Vdd Programmability to Reduce FPGA Interconnect Power

Vdd Programmability to Reduce FPGA Interconnect Power Vdd Programmability to Reduce FPGA Interconnect Power Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 ABSTRACT Power is an increasingly important

More information

INVERSE PROBLEMS IN GROUNDWATER MODELING

INVERSE PROBLEMS IN GROUNDWATER MODELING INVERSE PROBLEMS IN GROUNDWATER MODELING Theory and Applications of Transport in Porous Media Series Editor: Jacob Bear, Technion - Israel Institute of Technology, Haifa, Israel Volume 6 The titles published

More information

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design

Chunjie Duan Brock J. LaMeres Sunil P. Khatri. On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On and Off-Chip Crosstalk Avoidance in VLSI Design 123 On and Off-Chip Crosstalk Avoidance in VLSI Design Chunjie Duan Brock J. LaMeres Sunil P. Khatri On

More information

SPECC: SPECIFICATION LANGUAGE AND METHODOLOGY

SPECC: SPECIFICATION LANGUAGE AND METHODOLOGY SPECC: SPECIFICATION LANGUAGE AND METHODOLOGY SPECC: SPECIFICATION LANGUAGE AND METHODOLOGY Daniel D. Gajski Jianwen Zhu Rainer Dömer Andreas Gerstlauer Shuqing Zhao University of California, Irvine SPRINGER

More information

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Ashokkumar A, Dr. Niranjan N Chiplunkar, Vinay S Abstract The Auction Based methodology for routing of 3D FPGA (Field Programmable

More information

Timing-Driven Placement for FPGAs

Timing-Driven Placement for FPGAs Timing-Driven Placement for FPGAs Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose 1 {arm, vaughn, jayar}@rtrack.com Right Track CAD Corp., Dept. of Electrical and Computer Engineering, 720

More information

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices

Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Automated Extraction of Physical Hierarchies for Performance Improvement on Programmable Logic Devices Deshanand P. Singh Altera Corporation dsingh@altera.com Terry P. Borer Altera Corporation tborer@altera.com

More information

Congestion-Driven Regional Re-clustering for Low-Cost FPGAs

Congestion-Driven Regional Re-clustering for Low-Cost FPGAs Congestion-Driven Regional Re-clustering for Low-Cost FPGAs Darius Chiu, Guy G.F. Lemieux, Steve Wilton Electrical and Computer Engineering, University of British Columbia British Columbia, Canada dariusc@ece.ubc.ca

More information

RETARGETABLE CODE GENERATION FOR DIGITAL SIGNAL PROCESSORS

RETARGETABLE CODE GENERATION FOR DIGITAL SIGNAL PROCESSORS RETARGETABLE CODE GENERATION FOR DIGITAL SIGNAL PROCESSORS RETARGETABLE CODE GENERATION FOR DIGITAL SIGNAL PROCESSORS Rainer LEUPERS University of Dortmund Department of Computer Science Dortmund, Germany

More information

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs { Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs Marvin Tom marvint @ ece.ubc.ca Guy Lemieux lemieux @ ece.ubc.ca Dept of ECE, University of British Columbia, Vancouver, BC,

More information

Effects of FPGA Architecture on FPGA Routing

Effects of FPGA Architecture on FPGA Routing Effects of FPGA Architecture on FPGA Routing Stephen Trimberger Xilinx, Inc. 2100 Logic Drive San Jose, CA 95124 USA steve@xilinx.com Abstract Although many traditional Mask Programmed Gate Array (MPGA)

More information

Placement Rent Exponent Calculation Methods, Temporal Behaviour and FPGA Architecture Evaluation

Placement Rent Exponent Calculation Methods, Temporal Behaviour and FPGA Architecture Evaluation Placement Rent Exponent Calculation Methods, Temporal Behaviour and FPGA Architecture Evaluation Joachim Pistorius Altera Corp. 101 Innovation Drive San Jose, CA 408-544-7604 jpistori@altera.com Mike Hutton

More information

Software Development for SAP R/3

Software Development for SAP R/3 Software Development for SAP R/3 Springer-Verlag Berlin Heidelberg GmbH Ulrich Mende Software Development for SAP R/3 Data Dictionary, ABAP/4, Interfaces With Diskette With 124 Figures and Many Example

More information

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs .1 Fast Timing-driven Partitioning-based Placement for Island Style FPGAs Pongstorn Maidee Cristinel Ababei Kia Bazargan Electrical and Computer Engineering Department University of Minnesota, Minneapolis,

More information

Yves Nievergelt. Wavelets Made Easy. Springer Science+Business Media, LLC

Yves Nievergelt. Wavelets Made Easy. Springer Science+Business Media, LLC Wavelets Made Easy Yves Nievergelt Wavelets Made Easy Springer Science+Business Media, LLC Yves Nievergelt Department of Mathematics Eastem Washington University Cheney, WA 99004-2431 USA Library of Congress

More information

Memory Footprint Reduction for FPGA Routing Algorithms

Memory Footprint Reduction for FPGA Routing Algorithms Memory Footprint Reduction for FPGA Routing Algorithms Scott Y.L. Chin, and Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, B.C., Canada email:

More information

Stock Message Boards

Stock Message Boards Stock Message Boards This page intentionally left blank Stock Message Boards A Quantitative Approach to Measuring Investor Sentiment Ying Zhang STOCK MESSAGE BOARDS Copyright Ying Zhang, 2014. Softcover

More information

SYNTHESIS OF FINITE STATE MACHINES: LOGIC OPTIMIZATION

SYNTHESIS OF FINITE STATE MACHINES: LOGIC OPTIMIZATION SYNTHESIS OF FINITE STATE MACHINES: LOGIC OPTIMIZATION SYNTHESIS OF FINITE STATE MACHINES: LOGIC OPTIMIZATION Tiziano Villa University of California/Berkeley Timothy Kam Intel Corporation Robert K. Brayton

More information

Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1

Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1 Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1 Edmund Lee, Guy Lemieux, Shahriar Mirabbasi University of British Columbia, Vancouver, Canada { eddyl lemieux shahriar } @ ece.ubc.ca

More information

COMMUNICATION SYSTEMS The State of the Art

COMMUNICATION SYSTEMS The State of the Art COMMUNICATION SYSTEMS The State of the Art IFIP The International Federation for Information Processing lfip was founded in 1960 under the auspices of UNESCO, following the First World Computer Congress

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

A System-Level Stochastic Circuit Generator for FPGA Architecture Evaluation

A System-Level Stochastic Circuit Generator for FPGA Architecture Evaluation A System-Level Stochastic Circuit Generator for FPGA Architecture Evaluation Cindy Mark, Ava Shui, Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver,

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs Harrys Sidiropoulos, Kostas Siozios and Dimitrios Soudris School of Electrical & Computer Engineering National

More information

Loop Tiling for Parallelism

Loop Tiling for Parallelism Loop Tiling for Parallelism THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE LOOP TILING FOR PARALLELISM JINGLING XUE School of Computer Science and Engineering The University of New

More information

Fundamentals of Operating Systems. Fifth Edition

Fundamentals of Operating Systems. Fifth Edition Fundamentals of Operating Systems Fifth Edition Fundamentals of Operating Systems A.M. Lister University of Queensland R. D. Eager University of Kent at Canterbury Fifth Edition Springer Science+Business

More information

Graphics Programming in c++

Graphics Programming in c++ Graphics Programming in c++ Springer London Berlin Heidelberg New York Barcelona Budapest Hong Kong Milan Paris Santa Clara Singapore Tokyo Mark Walmsley Graphics Programming in c++ Writing Graphics Applications

More information

MAXIMIZING THE REUSE OF ROUTING RESOURCES IN A RECONFIGURATION-AWARE CONNECTION ROUTER

MAXIMIZING THE REUSE OF ROUTING RESOURCES IN A RECONFIGURATION-AWARE CONNECTION ROUTER MAXIMIZING THE REUSE OF ROUTING RESOURCES IN A RECONFIGURATION-AWARE CONNECTION ROUTER Elias Vansteenkiste, Karel Bruneel and Dirk Stroobandt Department of Electronics and Information Systems Ghent University

More information

A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs. Jason Luu

A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs. Jason Luu A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs by Jason Luu A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

IMPROVING TIMING-DRIVEN FPGA PACKING WITH PHYSICAL INFORMATION

IMPROVING TIMING-DRIVEN FPGA PACKING WITH PHYSICAL INFORMATION IMPROVING TIMING-DRIVEN FPGA PACKING WITH PHYSICAL INFORMATION Doris T. Chen, Kristofer Vorwerk, Andrew Kennings University of Waterloo Waterloo, ON {dtlchen,kpvorwer,akenning}@cheetah.vlsi.uwaterloo.ca

More information

JRoute: A Run-Time Routing API for FPGA Hardware

JRoute: A Run-Time Routing API for FPGA Hardware JRoute: A Run-Time Routing API for FPGA Hardware Eric Keller Xilinx Inc. 2300 55 th Street Boulder, CO 80301 Eric.Keller@xilinx.com Abstract. JRoute is a set of Java classes that provide an application

More information

THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS

THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS Chi Wai Yu 1, Julien Lamoureux 2, Steven J.E. Wilton 2, Philip H.W. Leong 3, Wayne Luk 1 1 Dept

More information

FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS

FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS FUNCTIONAL DECOMPOSITION WITH APPLICATION TO FPGA SYNTHESIS Functional Decomposition with Application to FPGA Synthesis by Christoph Scholl Institute of Computer Science, Albert-Ludwigs-University, Freiburg

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

VPR 5.0: FPGA CAD and Architecture Exploration Tools with Single-Driver Routing, Heterogeneity and Process Scaling

VPR 5.0: FPGA CAD and Architecture Exploration Tools with Single-Driver Routing, Heterogeneity and Process Scaling VPR 5.: FPGA CAD and Architecture Exploration Tools with Single-Driver Routing, Heterogeneity and Process Scaling Jason Luu, Ian Kuon, Peter Jamieson, Ted Campbell, Andy Ye, Mark Fang, and Jonathan Rose

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Placement Fall 2007 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I

ECE 636. Reconfigurable Computing. Lecture 2. Field Programmable Gate Arrays I ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I Overview Anti-fuse and EEPROM-based devices Contemporary SRAM devices - Wiring - Embedded New trends - Single-driver wiring -

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Place and Route for FPGAs

Place and Route for FPGAs Place and Route for FPGAs 1 FPGA CAD Flow Circuit description (VHDL, schematic,...) Synthesize to logic blocks Place logic blocks in FPGA Physical design Route connections between logic blocks FPGA programming

More information

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla

Windows 10 Revealed. The Universal Windows Operating System for PC, Tablets, and Windows Phone. Kinnary Jangla Windows 10 Revealed The Universal Windows Operating System for PC, Tablets, and Windows Phone Kinnary Jangla Windows 10 Revealed Kinnary Jangla Bing Maps San Francisco, California, USA ISBN-13 (pbk): 978-1-4842-0687-4

More information

WIRELESS ATM AND AD-HOC NETWORKS. Protocols and Architectures

WIRELESS ATM AND AD-HOC NETWORKS. Protocols and Architectures WIRELESS ATM AND AD-HOC NETWORKS Protocols and Architectures WIRELESS ATM AND AD-HOC NETWORKS Protocols and Architectures C-K Toh, Ph.D. University of Cambridge Cambridge, United Kingdom SPRINGER-SCIENCE+BUSINESS

More information

FPGA Power and Timing Optimization: Architecture, Process, and CAD

FPGA Power and Timing Optimization: Architecture, Process, and CAD FPGA Power and Timing Optimization: Architecture, Process, and CAD Chun Zhang, Lerong Cheng, Lingli Wang* and Jiarong Tong Abstract Field programmable gate arrays (FPGAs) allow the same silicon implementation

More information

An Interconnect-Centric Design Flow for Nanometer Technologies

An Interconnect-Centric Design Flow for Nanometer Technologies An Interconnect-Centric Design Flow for Nanometer Technologies Professor Jason Cong UCLA Computer Science Department Los Angeles, CA 90095 http://cadlab.cs.ucla.edu/~ /~cong

More information

Jinkun Liu Xinhua Wang. Advanced Sliding Mode Control for Mechanical Systems. Design, Analysis and MATLAB Simulation

Jinkun Liu Xinhua Wang. Advanced Sliding Mode Control for Mechanical Systems. Design, Analysis and MATLAB Simulation Jinkun Liu Xinhua Wang Advanced Sliding Mode Control for Mechanical Systems Design, Analysis and MATLAB Simulation Jinkun Liu Xinhua Wang Advanced Sliding Mode Control for Mechanical Systems Design, Analysis

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

Measuring and Utilizing the Correlation Between Signal Connectivity and Signal Positioning for FPGAs Containing Multi-Bit Building Blocks

Measuring and Utilizing the Correlation Between Signal Connectivity and Signal Positioning for FPGAs Containing Multi-Bit Building Blocks Measuring and Utilizing the Correlation Between Signal Connectivity and Signal Positioning for FPGAs Containing Multi-Bit Building Blocks Andy Ye and Jonathan Rose The Edward S. Rogers Sr. Department of

More information

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion

Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Floorplan Management: Incremental Placement for Gate Sizing and Buffer Insertion Chen Li, Cheng-Kok Koh School of ECE, Purdue University West Lafayette, IN 47907, USA {li35, chengkok}@ecn.purdue.edu Patrick

More information

Buffer Design and Assignment for Structured ASIC *

Buffer Design and Assignment for Structured ASIC * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 30, 107-124 (2014) Buffer Design and Assignment for Structured ASIC * Department of Computer Science and Engineering Yuan Ze University Chungli, 320 Taiwan

More information

DISSEMINATING SECURITY UPDATES AT INTERNET SCALE

DISSEMINATING SECURITY UPDATES AT INTERNET SCALE DISSEMINATING SECURITY UPDATES AT INTERNET SCALE Advances in Information Security Sushil Jajodia Consulting editor Center for Secure Information Systems George Mason University Fairfax, VA 22030-4444 email:

More information

Computer Architecture

Computer Architecture Computer Architecture Springer-Verlag Berlin Heidelberg GmbH Silvia M. Mueller Wolfgang J. Paul Computer Architecture Complexity and Correctness With 214 Figures and 185 Tables Springer Silvia Melitta

More information

Integrated Retiming and Placement for Field Programmable Gate Arrays

Integrated Retiming and Placement for Field Programmable Gate Arrays Integrated Retiming and Placement for Field Programmable Gate Arrays Deshanand P. Singh Dept. of Electrical and Computer Engineering University of Toronto Toronto, Canada singhd@eecg.toronto.edu Stephen

More information

Accelerating FPGA Routing Using Architecture-Adaptive A* Techniques

Accelerating FPGA Routing Using Architecture-Adaptive A* Techniques Accelerating FPGA Routing Using Architecture-Adaptive A* Techniques Akshay Sharma Actel Corporation Mountain View, CA 9443, USA Akshay.Sharma@actel.com Scott Hauck University of Washington Seattle, WA

More information

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction 44.1 Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information