VLSI Design Automation

Similar documents
VLSI Design Automation

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Maurizio Palesi

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

More Course Information

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

EE586 VLSI Design. Partha Pande School of EECS Washington State University

What is this class all about?

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

What is this class all about?

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

FABRICATION TECHNOLOGIES

What is this class all about?

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Introduction to ICs and Transistor Fundamentals

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

COE 561 Digital System Design & Synthesis Introduction

EITF35: Introduction to Structured VLSI Design

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Design Methodologies

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

EE3032 Introduction to VLSI Design

Introduction to Microprocessor

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

CMPEN 411. Spring Lecture 01: Introduction

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Programmable Logic Devices II

Computer Architecture!

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

EE141- Spring 2007 Introduction to Digital Integrated Circuits

Digital System Design

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

ECE 261: Full Custom VLSI Design

Digital Design Methodology

Computers: Inside and Out

System on Chip (SoC) Design

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Elettronica T moduli I e II

An Overview of Standard Cell Based Digital VLSI Design

Overview of Digital Design Methodologies

Computer Architecture

Chapter 5: ASICs Vs. PLDs

ECE 459/559 Secure & Trustworthy Computer Hardware Design

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

Evolution of Computers & Microprocessors. Dr. Cahit Karakuş

A Closer Look at the Epiphany IV 28nm 64 core Coprocessor. Andreas Olofsson PEGPUM 2013

What is This Course About? CS 356 Unit 0. Today's Digital Environment. Why is System Knowledge Important?

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

EE5780 Advanced VLSI CAD

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

Computer Architecture s Changing Definition

Multi-Core Microprocessor Chips: Motivation & Challenges

Chapter 1 Overview of Digital Systems Design

Part 1 of 3 -Understand the hardware components of computer systems

Digital Integrated Circuits

Introduction Lecturer: Gil Rahav Semester B, EE Dept. BGU. Freescale Semiconductors Israel

Hardware Modeling. Hardware Description. ECS Group, TU Wien

Research Challenges for FPGAs

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Hardware Description Languages. Introduction to VHDL

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

An Introduction to Programmable Logic

CS5222 Advanced Computer Architecture. Lecture 1 Introduction

EE241 - Spring 2004 Advanced Digital Integrated Circuits

HW Trends and Architectures

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

Design of DMA Controller Using VHDL

CHAPTER 1 INTRODUCTION

FPGA Based Digital Design Using Verilog HDL

Hardware-Software Codesign. 1. Introduction

E 4.20 Introduction to Digital Integrated Circuit Design

ECE 595Z Digital Systems Design Automation

Overview of Digital Design with Verilog HDL 1

ELCT 501: Digital System Design

ELCT708 MicroLab Session #1 Introduction to Embedded Systems and Microcontrollers. Eng. Salma Hesham

101-1 Under-Graduate Project Digital IC Design Flow

Computer Architecture

VLSI Design I; A. Milenkovic 1

Configurable Processors for SOC Design. Contents crafted by Technology Evangelist Steve Leibson Tensilica, Inc.

PLAs & PALs. Programmable Logic Devices (PLDs) PLAs and PALs

IP CORE Design 矽智產設計. C. W. Jen 任建葳.

Spiral 2-8. Cell Layout

Outline Marquette University

Integrated circuits and fabrication

ECE 471 Embedded Systems Lecture 2

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

FPGA: What? Why? Marco D. Santambrogio

Design Metrics. A couple of especially important metrics: Time to market Total cost (NRE + unit cost) Performance (speed latency and throughput)

Multimedia in Mobile Phones. Architectures and Trends Lund

EE380K: Computing In Transition

Calendar Description

VLSI Design Automation

Transcription:

VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars, factories Network cards System-on-chip (SoC) 1

Integrated Circuit Revolution 1972: Intel 4004 Microprocessor Clock speed: 108 KHz # Transistors: 2,300 # I/O pins: 16 Technology: 10μm Integrated Circuit Revolution 2000: Intel Pentium 4 Processor Clock speed: 1.5 GHz # Transistors: 42 million Technology: 0.18μm CMOS 2

Integrated Circuit Revolution 2005: Sun UltraSpartc T1 8 cores, 4 threads per core Clock speed: 1.2 GHz # Transistors: 300 million Technology: 90nm CMOS Integrated Circuit Revolution 2006: Intel Core 2 Duo Clock speed: 3.73 GHz # Transistors: 1 billion Technology: 65nm CMOS 3

Integrated Circuit Revolution 2009: Intel Core i7 Quadricore Technology: 45nm CMOS Integrated Circuit Revolution 4

Moore s Law Gordon Moore predicted in 1965 that the number of transistors that can be integrated on a die would double every 18 months. Semiconductor Growth 5

Processor Power (Watts) Intel Microprocessor Performance 6

Device Complexity Exponential increase in device complexity Increasing with Moore's law (or faster)! Require exponential increases in design productivity We have exponentially more transistors! Heterogeneity on Chip Greater diversity of on chip elements Processors Software Memory Analog More transistors doing different things! 7

1981 1985 1989 1993 1997 2001 2005 2009 Logic transistors per chip (K) Productivity Trans. / Staff. Month Stronger Market Pressures Time to-market Decreasing design window Less tolerance for design revisions How Are We Doing? 10,000,000 1,000,000 100,000 10,000 1,000 100 58% / Yr. compound complexity growth rate Productivity gap 100,000,000 10,000,000 1,000,000 100,000 10,000 1,000 10 21% / Yr. compound productivity growth rate 100 10 Role of EDA: close the productivity gap 8

Evolution of Design Methodology We are now entering the era of block-based design ASIC/ASSP Design Yesterday Bus Standards, Predictable, Preverified System-Board Integration IP/Block Authoring Today VSI Compatible Standards, Predictable, Preverified System-Chip Integration Evolution of SoC Platforms General-purpose Scalable RISC Processor 50 to 300+ MHz 32-bit or 64-bit Library of Device IP Blocks Image coprocessors DSPs UART 1394 USB Scalable VLIW Media Processor: 100 to 300+ MHz 32-bit or 64-bit Nexperia System Buses 32-128 bit 2 Cores: Philips Nexperia PNX8850 SoC platform for High-end digital video (2001) 9

What s Happening in SoCs? Technology: no slow-down in sight! Faster and smaller transistors: 90 65 45 32 22 nm but slower wires, lower voltage, more noise! 80% or more of the delay of critical paths will be due to interconnects Design complexity: from 2 to 10 to 100 cores! Design reuse is essential but differentiation/innovation is key for winning on the market! Performance and power: Performance requirements keep going up but power budgets don t! Communication Architectures Shared bus Low area Poor scalability High energy consumption Network-on-Chip Scalability and modularity Low energy consumption Increase of design complexity IP IP IP Shared bus IP IP IP IP IP IP IP IP IP IP IP IP IP IP IP IP IP IP IP 10

Intel s Teraflops 100 Million transistors 80 cores, 160 FP engines Teraflops perf. @ 62 Watts On-die mesh network Power aware design IC Design Steps Specifications High-level Functional Description Description Behavioral VHDL, C Structural VHDL 11

IC Design Steps Specifications High-level Functional Description Description Packaging Placed & Routed Design Physical Design Fabrication Technology Mapping Synthesis Gate-level l Logic Design Description X=(AB*CD)+ (A+D)+(A(B+C)) Y = (A(B+C)+AC+ D+A(BC+D)) Circuit Models A model of a circuit is an abstraction A representation that shows relevant features without associated details Circuit Model (few details) Synthesis Circuit Model (many details) 12

Model Classification Levels of Abstraction Architectural A circuit performs a set of operation, such as data computation or transfer HDL models, Flow diagrams, Logic A circuit evaluate a set of logic functions FSMs, Schematics, Geometrical A circuit is a set of geometrical entities Floor plans, layouts,... 13

Levels of Abstraction PC = PC + 1; Fetch(PC); Decode(Inst);... Design consists of refining the abstract specification of the architectural model into the detailed geometricallevel model Views of a Model Behavioral Describe the function of a circuit regardless of its implementation Structural Describe a model as an interconnection of components Physical Relate to the physical object (e.g., transistors) of a design 14

The Y-chart Behavioral-view Structural-view Architectural-level Logic-level Geometrical-level Gajski and Kuhn s Y-chart (Silicon Compilers, Addison-Wesley, 1987) Physical-view The Y-chart Behavioral-view Structural-view PC = PC + 1; Fetch(PC); Decode(Inst);... MULT ADD CTRL RAM Architectural level S0 S3 S1 Logic level S2 Geometrical level Physical-view 15

Synthesis Behavioral-view High-level synthesis (or architectural synthesis) Architectural-level Logic synthesis Logic-level Structural-view Assignment to resources Interconnection Scheduling Interconnection of istances of library cells (technology mapping) Geometrical-level Physical design Physical layout of the chip (placement, routing) Physical-view 16