Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Similar documents
An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Block Sparse and Addressing for Memory BIST Application

Repair Analysis for Embedded Memories Using Block-Based Redundancy Architecture

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Sram Cell Static Faults Detection and Repair Using Memory Bist

Detecting and Correcting the Multiple Errors in Video Coding System

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

Detecting and Correcting the Multiple Errors in Video Coding System

Improving Memory Repair by Selective Row Partitioning

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

SELF CORRECTING MEMORY DESIGN FOR FAULT FREE CODING IN PROGRESSIVE DATA STREAMING APPLICATION

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Optimized Built-In Self-Repair for Multiple Memories

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

An Area-Efficient BIRA With 1-D Spare Segments

An Integrated ECC and BISR Scheme for Error Correction in Memory

Three DIMENSIONAL-CHIPS

A Software-Based Test Methodology for Direct-Mapped Data Cache

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

Design and Implementation of Built-in-Self Test and Repair

SRAM Delay Fault Modeling and Test Algorithm Development

Digital Integrated Circuits

International Journal of Advanced Research in Electrical, Electronics and Instrumentation Engineering

Hardware Sharing Design for Programmable Memory Built-In Self Test

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

Design for Test of Digital Systems TDDC33

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

A Universal Test Pattern Generator for DDR SDRAM *

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Designing and Analysis of 8 Bit SRAM Cell with Low Subthreshold Leakage Power

Diagnostic Testing of Embedded Memories Using BIST

Delay and Optimization of Random Number Generator

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

CHAPTER 1 INTRODUCTION

Jin-Fu Li Dept. of Electrical Engineering National Central University

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

CALCULATION OF POWER CONSUMPTION IN 7 TRANSISTOR SRAM CELL USING CADENCE TOOL

Optimal Built-In Self Repair Analyzer for Word-Oriented Memories

Very Large Scale Integration (VLSI)

International Journal of Engineering & Science Research

Nanometer technologies enable higher-frequency designs

FPGA Based Low Area Motion Estimation with BISCD Architecture

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Global Built-In Self-Repair for 3D Memories with Redundancy Sharing and Parallel Testing

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

An Efficient Parallel Transparent Diagnostic BIST

THREE algorithms suitable for built-in redundancy analysis

AS THE capacity and density of memory gradually

Impact of DFT Techniques on Wafer Probe

Parallelized Network-on-Chip-Reused Test Access Mechanism for Multiple Identical Cores

Self-Repair for Robust System Design. Yanjing Li Intel Labs Stanford University

IC Testing and Development in Semiconductor Area

FPGA Implementation of ALU Based Address Generation for Memory

More Course Information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

GLOBAL JOURNAL OF ENGINEERING SCIENCE AND RESEARCHES A NOVAL BISR APPROACH FOR EMBEDDED MEMORY SELF REPAIR G. Sathesh Kumar *1 & V.

An Integrated Built-in Test and Repair Approach for Memories with 2D Redundancy

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Testing Digital Systems I

Fully Programmable Memory BIST for Commodity DRAMs

BIST-Based Test and Diagnosis of FPGA Logic Blocks

Built-in self-repair (BISR) technique widely Used to repair embedded random access memories (RAMs)

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

Design of Dual Port SDRAM Controller with Time Slot Register

A Built-in Self-Test for System-on-Chip

About the Instructor

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

VLSI System Testing. Lecture 1 Introduction Class website: people.ee.duke.edu/~krish/teaching/538.html

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

A New Scan Chain Fault Simulation for Scan Chain Diagnosis

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

BIST-Based Test and Diagnosis of FPGA Logic Blocks 1

Chapter 9. Design for Testability

A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

Metodologie di progetto HW Il test di circuiti digitali

Transcription:

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor, Dept. of ECE, GPCET, Kurnool. E-Mail id: pvnreddy_alfa@rediffmail.com) Abstract Built-in self-test (BIST) refers to those testing techniques where additional hardware is added to a design so that testing is accomplished without the aid of external hardware. Usually, a pseudo-random generator is used to apply test vectors to the circuit under test and a data compactor is used to produce a signature. To increase the reliability and yield of embedded memories, many redundancy mechanisms have been proposed. All the redundancy mechanisms bring penalty of area and complexity to embedded memories design. Considered that compiler is used to configure SRAM for different needs, the BISR had better bring no change to other modules in SRAM. To solve the problem, a new redundancy scheme is proposed in this paper. Some normal words in embedded memories can be selected as redundancy instead of adding spare words, spare rows, spare columns or spare blocks. Built-In Self-Repair (BISR) with Redundancy is an effective yield-enhancement strategy for embedded memories. This paper proposes an efficient BISR strategy which consists of a Built-In Self-Test (BIST) module, a Built-In Address-Analysis (BIAA) module and a Multiplexer (MUX) module. The BISR is designed flexible that it can provide four operation modes to SRAM users. Each fault address can be saved only once is the feature of the proposed BISR strategy. In BIAA module, fault addresses and redundant ones form a oneto-one mapping to achieve a high repair speed. Besides, instead of adding spare words, rows, columns or blocks in the SRAMs, users can select normal words as redundancy. ***** 1. Introdution Nowadays, the area occupied by embedded memories in Systemon-Chip (SoC) is over 90%, and expected to rise up to 94% by 2014. Thus, the performance and yield of embedded memories will dominate that of SoCs. However, memory fabrication yield is limited largely by random defects, random oxide pinholes, random leakage defects, gross processing and assembly faults, specific processing faults, misalignments, gross photo defects and other faults and defects. To increase the reliability and yield of embedded memories, many redundancy mechanisms have been proposed. In both redundant rows and columns are incorporated into the memory array. In spare words, rows, and columns are added into the word-oriented memory cores as redundancy. All these redundancy mechanisms bring penalty of area and complexity to embedded memories design. Considered that compiler is used to configure SRAM for different needs, the BISR had better bring no change to other modules in SRAM. To solve the problem, a new redundancy scheme is proposed in this paper. Some normal words in embedded memories can be selected as redundancy instead of adding spare words, spare rows, spare columns or spare blocks. Memory test is necessary before using redundancy to repair. Design for test (DFT) techniques proposed in 1970 improves the testability by including the testability by including additional circuitry. The controlled Through a BIST circuitry is more time-saving and efficient compared to that controlled by the external tester (ATE). However, memory BIST does not address the loss of parts due to manufacturing defects but only the screening aspects of the manufactured parts. BISR techniques aim at testing embedded memories, saving the fault addresses and replacing them with redundancy. In, the authors proposed a new memory BISR strategy applying two serial redundancy analysis (RA) stages. Presents an efficient repair algorithm for embedded memory with multiple redundancies and a BISR circuit using the proposed algorithm. All the previous BISR techniques can repair memories, but they didn t tell us how to avoid storing fault address more than once. This paper proposes an efficient BISR strategy which can store each fault address only once. The rest of this paper is organized as follows. Section II outlines SRAM fault models, test algorithms and BIST design. Section III introduces the proposed BISR strategy. We present the details of the proposed BISR strategy including the architectures, procedures and the features. In section IV, the experimental results are reported. Finally, Section V concludes this paper. 2.EXISTING SYSTEM Previously the memory devices were tested and repaired using a software technique. In this software technique there was the use of redundancy logic. The disadvantage of redundancy logic is the usage of extra buffers for storing the faulty addresses, data and also flags.. 3. PROPOSED SYSTEM According to the paper the proposed architecture uses the Selectable Redundancy for repairing a memory. This can be done by having redundancy words and mapping the faulty addresses to these redundancy addresses. Memory testing is a more and more important issue 730

RAMs are key components for electronic systems Memories represent about 30% of the semiconductor market As shown in TABLE 1, March C- has better fault coverage than March 3 and shorter test time than March C and March C+. So March C- has been chosen as BIST algorithm in this paper. Embedded memories are dominating the chip yield. Its algorithm steps are as follows: Memory testing is more and more difficult Up -write 0 Growing density, capacity, and speed Up -read 0, write 1 Emerging new architectures and technologies Embedded memories: access, diagnostics & repair, heterogeneity, custom design, power & noise, scheduling, compression, etc. Up -read 1, write 0 Down -read 0, write 1 Down -read 1, write 0 Cost drives the need for more efficient test methodologies IFA, fault modeling and simulation, test algorithm development and evaluation, diagnostics, DFT, BIST, BIRA, BISR, etc. Test automation is required Failure analysis, fault simulation, ATG, and diagnostics BIST/BIRA/BISR generation Embedded memory testing is increasingly difficult High bandwidth (speed and I/O data width) Heterogeneity and plurality Isolation (accessibility) AC test, diagnostics, and repair BIST is considered the best solution. Because, for Embedded memories accessibility of Pins is not sufficient for testing outside the chip. 4. Proposed Algorithm The details of fault models can be referred in. they are the foundations of the memory test. An efficient and economical memory test should provide the best fault coverage in the shortest test time. BIST is used to test memories in the paper and its precision is guaranteed by test algorithms. March tests have the advantage of short test time but good fault coverage. There are many March tests such as March C, March C-, March C+, March 3 and so on. TABLE 1 compares the test length, complexity and fault coverage of them. n stands for the capacity of SRAM. TABLE 1: COPARISON OF DIFFERENT MARCH TESTS Down -read 0 In above steps, up represents executing SRAM addresses in ascending order while down in descending order. The BIST module in the paper refers to the MBISR design of mentor Graphics; it mainly consists of a BIST controller, a test vector generator and a comparator. It can indicate when memory test is done and weather there is fault in memory. 5. Proposed BISR Strategy A Redundancy Architecture The proposed SRAM BISR strategy is flexible. The SRAM users can decide whether to use it by setting a signal. So the redundancy of the SRAM is designed to be selectable. In another word, some normal words in SRAM can be selected as redundancy if the SRAM needs to repair itself. We call these words Normal-Redundant words to distinguish them from the real normal ones. We take 64 x 4 SRAM for example, as shown in figure 1. There are 60 normal words and 4 Normal-Redundant words. When the BISR is used, the Normal- Redundant words are accessed as normal ones. Otherwise, the Normal-Redundant words can only be accessed when there are faults in normal words. In this case, the SRAM can only offer capacity of 60 words to users. This should be referred in SRAM manual in detail. Algorithms Test length Complexity Fault coverage March C 11n O(n) AF,SAF,TF,CFin CFid, and CFst. March C- 10n O(n) AF,SAF,TF,CFin CFid, and CFst March C+ 14n O(n) AF,SAF,TF,CFin CFid, and CFst March 3 10n O(n) AF,SAF,SOF, and TF figure 1: Architecture of Redundancy in SRAM This kind of selectable redundancy architecture can save area and increase efficiency. After BISR is applied, other modules in SRAM can remain unchanged. Thus the selectable redundancy won t bring any problem to SRAM compiler. B. Overall BISR Architecture 731

Figure 2: proposed BISR Architecture The Architecture o the proposed BISR strategy is shown in figure 2. It consists of three parts: BIST module, BIAA module and MUX module. We call the SRAM with BISR a system. The BIST module uses march C- to test the addresses of the normal words in SRAM. It detects SRAM failures with a comparator that compares actual memory data with expected data. If there is a failure (Compare_Q=1),the current address is considered as a faulty address. The BIAA module can store faulty addresses in a memory named Fault_A_Mem. There is a counter in BIAA that counts the number of faulty addresses. When BISR is used (bisr_h=1), the faulty addresses can be replaced with redundant addresses to repair the SRAM. The inputs of SRAM in different operation modes are controlled by the MUX module. In test mode (bist_h=1), the inputs of SRAM are generated in BISR while they are equal to system inputs in access mode (bist_h=0). C. BISR Proedure Figure 3shows the Proposed BISR block diagram. The BISR starts by resetting the system (rst_h=1). After that if the system work in test mode, it goes into TEST phase. During this phase, the BIST module and BIAA module work in parallel. The BIST use March C- to test the normal addresses of SRAM. As long as any fault is detected by the BIST module, the faulty address will be sent to the BIAA module. Then the BIAA module checks whether the faulty address has been already stored in Fault-A-Mem. If the faulty address has not been stored, the BIAA stores it and the faulty address counter adds 1. Otherwise, the faulty address can be ignored. When the test is completed, there will be two conditions. If there is no fault or there are too many faults that overflow the redundancy capacity, BISR goes into COMPLETE phase. If there are faults in SRAM but without overflows, the system goes into REPAIR&TEST phase. The BIAA module replaces the faulty addresses stored in Fault-A-Mem with redundant ones and the BIST module tests the SRAM again. There will be two results: repair fail or repair pass. By using the BISR, the users can pick out the SRAMs that can be repaired with redundancy or the ones with no fault. Figure 3: Block Diagram of BISR D. Features of the BISR Firstly, the BISR strategy is flexible. TABLE II lists the operation modes of SRAM. In access mode, SRAM users can decide whether the BISR is used base on their needs. If the BISR is needed, the Normal-Redundant words will be taken as redundancy to repair fault. If not, they can be accessed as normal words. Secondly, the BISR strategy is efficient. On one hand, the efficiency reflects on the selectable redundancy which is described as flexible above. No matter the BISR is applied or not, the Normal-Redundant words are used in the SRAM. It saves area and has high utilization. On the other hand, each fault address can be stored only once into Fault-A-Mem. As said before, March C- has 6 steps. In another word, the addresses will be read 5 times in one test. Modes Test mode (test_h=1) Repair selection Default repair (bisr_h=1) Don t repair (bisr_h=0) Repair Operation Repair faults and test. Test only. Access mode (test_h=0) (bisr_h=1) Don t repair (bisr_h=0) Repair faults and w/r SRAM Access normal redundant and normal words. w/r SRAM only. 732

Some faulty addresses can be detected in more than one step. Take Stuck- at-0 fault for example, it can be detected in both 3 rd and 5 th steps. But the fault address shouldn t be stored twice. So we propose an efficient method to solve the problem in BIAA module. Figure 4 shows the flows of storing fault addresses. BIST detects whether the current address is faulty. If it is, BIAA checks whether the Fault-A-Mem overflows. If not, the current fault address should be compared with those already stored in Fault-A-Mem. Only if the faulty address isn t equal to any address in Fault-A-Mem, it can be stored. To simply the comparison, write a redundant address into Fault-A-Mem as background. In this case, the fault address can be compared With all the data stored in fault-a-mem no matter how many fault addresses have been stored. Figure 5: BIST Simulation Figure 4: flows of storing fault address At last, the BISR strategy is high-speed. As shown in figure 4, once a fault address is stored in Fault-A-Mem, it points to a certain redundant address. The fault addresses and redundant ones from a one-to-one mapping. Using this method, the BISR can quickly get the corresponding redundant address to replace the faulty one. Figure 6: SRAM simulation 6. Experimental results The proposed BISR was designed at RT level and it was synthesized to gate-level using Synopsys DC compiler. We use cadence SOC Encounter to complete physical design of a 4K x 32 SRAM with BISR. The post simulation results show that the frequency of SRAM with BISR is at least 150MHz. the SRAM was implemented based on a 55nm CMOS process. The 32 addresses from H FFF were selected as Normal-Redundant addresses. To verify the function of BISR, a Stuck-at-0 fault was set in the SRAM. Figure 5 shows the layout view of the SRAM with BISR circuitry. BISR brings about 20% area penetrates. Figure 7: Mux Simulation 733

[2] C. Stapper, A. Mclaren, and M. Dreckman, Yield model for Productivity Optimization of VLSI Memory Chips with redundancy and Partially good Product, IBM Journal of Research and Development, Vol. 24, No. 3, pp. 398-409, May 1980. [3] W. K. Huang, Y. H. shen, and F. lombrardi, New approaches for repairs of memories with redundancy by row/column deletion for yield enhancement, IEEE Transactions on Computer-Aided Design, vol. 9, No. 3, pp. 323-328, Mar. 1990. [4] P. Mazumder and Y. S. Jih, A new built-in self-repair approach to VLSI memory yield enhancement by using neuraltype circuits, IEEE transactions on Computer Aided Design, vol. 12, No. 1, Jan, 1993. [5] H. C. Kim, D. S. Yi, J. Y. Park, and C. H. Cho, A BISR (built-in self-repair) circuit for embedded memory with multiple redundancies, VLSI and CAD 6 th International Conference, pp. 602-605, Oct. 1999. [6] Shyue-Kung Lu, Chun-Lin Yang, and Han-Wen Lin, Efficient BISR Techniques for Word-Oriented Embedded Memories with Hierarchical Redundancy, IEEE ICIS-COMSAR, pp. 355-360, 2006. [7] C. Stroud, A Designer s Guide to Built-In Self-Test, Kluwer Academic Publishers, 2002. BIBLIOGRAPHY Dr. P. VEERA NARAYANA REDDY received the B.Tech degree in Electronics and Communication Engineering from K.S.R.M College of Engineering, Kadapa, S.V.University, Tirupathi, A.P. in 1986. and received his M. Tech Post Graduate in Digital System and Computer Electronics from JNTU College of Engineering, Anatapur JNT University in 2003 and He got Ph.D in Digital Image Processing from JNTU Kakinada in 2013. He has Life member ship in I.S.T.E. He published 17 technical papers in various International journal, International and National conferences. Currently he is working as Professor & HOD of ECE Department in G.PULLAIAH COLLEGE OF ENGG & TECH KURNOOL, JNTU Anantapur, A.P. His current research interests include Digital Image Processing and Analog & digital Communications. Ms. GUDURU MALLIKARJUNA received the B.Tech degree in Electronics and Communication Engineering from Intell Engineering College,Anantapur, JNTU Anantapur, A.P in 2011. Figure 8: simulation of top BISR module 7. References Currently she is pursuing G.PULLAIAH COLLEGE OF ENGG & TECH KURNOOL, JNTU Anantapur, A.P. His current research interests include VLSI and Low power CMOS DESIGN. [1] Semiconductor Industry Association, International technology roadmap for semiconductors (ITRS), 2003 edition, Hsinchu, Taiwan, Dec.2003. 734