BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

Size: px
Start display at page:

Download "BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e."

Transcription

1 CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them to perform self testing, i.e., testing of their own operation using its own circuits, thereby reducing the dependency on an external automatic test equipment. BIST is a design for testability technique as it makes the electrical testing of a chip easier, faster, cheaper and more efficient. The issues need to be considered when implementing a BIST are i) faults to be covered by the BIST and how to test them, ii) Chip area occupied by the BIST circuit and iii) External supply and excitation requirements of the BIST. The use of memory core in SOC designs is rising quickly. Today the on chip memory cores occupy more than 60% of the area of a SOC. Normally, the dense memory core is more prone to faults. Hence, there is a need to design a memory BIST for the complex SOCs. To reduce the test time and cost two different MBIST design approaches are presented in this thesis. The issue of devising efficient methods for the diagnosis of embedded memories is becoming of increasing importance [14]. To facilitate the effectiveness of repair, the overall defect level should be kept under

2 control and requires the ability to deeply analyze the root cause of faults. Hence, an efficient diagnosis solution is required [16]. The present chapter deals with the hard wired FSM based MBIST design. It adds a custom circuitry to each core, implementing a suitable BIST algorithm [lo]. The main advantage of this approach is test application time in short and the area over head is relatively small compared to programmable MBIST. It consists of a circuit implementing a suitable BIST algorithm (March C Test algorithm) and the design provides a diagnostic capability to have exact knowledge of fault address and number of faults in a word oriented memory. 6.2 Memory BIST An MBIST unit consists of a controller to control the flow to test the sequence and other components to control the necessary test control and data. Design of MBIST controller can be classified into three types i.e. i) hard wired, ii) Micro Code base and iii) Processor base. A hard wired controller is an FSM, a hardware realization of a selected memory test algorithm. Classical memory test algorithm like zero-one, checker board are either simple, and walking, GALPAT, sliding diagonals etc., are fast but have poor fault coverage, or have a good fault coverage but with complexity and slow test process. Where as MARCH test are universauy employed memory test to test wide range of fault models like SAF, CF, TF, and AF faults. A March based algorithm is a finite sequence of March elements. Since March based tests are simple and can provide

3 good fault coverage, they are dominant test algorithm implemented in most of the MBISTs. Consider the 9N MARCH test shown in table 5.1 to illustrate the typical MARCH test. 9N March 00 (wo); h(r0wl); h(rlw0); U(r0wl) U(rlw0) /----Retention Test-----/ March wt 10 11wt 12 Add& wo ro wl rl wo ro wl rl wo ro wl rl Addrl wo ro wl rl wo t t t t r0 wl rl Table 6.1: 9N MARCH TEST The Table 5.1 shows a bit oriented 9N MARCH test algorithm as an example consisting of 5 March elements. In each element, first it specifies the address sequence fl means the address sequence is in ascending order, means the address sequence is in descending order, and means either ascending or descending is acceptable. For example consider March element 1, the address sequence begins at the lowest address and changes in ascending order. For each address the

4 algorithm performs a read operation with an expected Zero and writes back the complemented bit immediately and continues to the next address. The algorithm is called March 9N test algorithm as it contains 9N readlwrite operations, where N is the number of memory cells, and the retention test is for DRAMS. For multi bit word memories, those with dimensions 2' rows by 2c columns by b-bits, the March test must be performed log (b) + 1 times in order to detect coupling faults within a word. Half of the background patterns necessary to test multi bit words with a March test are shown for 8 bit and 32 bit words in the table 5.2 and 5.3. The other half of the necessary patterns are the inverse of these listed. Table 6.2: Word pattern for 8 bits.

5 Table 5.3: Word pattern for 32 bits. Apart from self testing, designing an efficient approach to diagnose an embedded memory is gaining importance. To allow improvements in the production process, exact knowledge about the memory cells affected by the faults and the type of faults is required. This is what is implemented as BIST and BISD architecture to test and diagnose the SRAM. 5.3 MBIST architecture The proposed MBIST runs a test sequence which implements a March C algorithm. The March C algorithm is suitable for testing AF, TF, SAF, CF at the RAM cell array. It has the highest coverage of physical spot defects among other marching algorithms. The March algorithm consists of 6 marching elements denoted as (MI, M2, M3, M4, M5, and M6) = ffu (~0); fi(i-0~1); fl(rlw0); U(r0wl) U(rlw0) U(I-0). In case of word oriented RAMS several cells of RAM are simultaneously being written then the CF within the cells of a word are tested by back pattern generating unit to generate the patterns as shown in the Table 5.2 and Table 5.3. The

6 architecture of MBIST has been designed to support self testing and diagnosis with the design and testability goals as follows: The BIST design must be as independent as possible of the size of the embedded RAM. r The embedded RAM should be tested and diagnosed for the SAF, TF, CF, and AF fault models. r To maintain a minimal area overhead * However the effects of multiple faults are not considered Given the above design constraints, different design choices concerning to the BIST architecture are adopted. r The Single Port memory including the decoding logic. The data path consisting of all registers, Counters, Multiplexes, Comparator and random logic around the memory. The BIST controller i.e. the FSM based controller is responsible for generating the correct sequence of operations composing the test algorithm. 0 The BISD controller to diagnose the memory and generate the fail map.

7 A typical embedded memory BIST comprises of an MBIST wrapper, a MBIST controller and the inter connections between them as shown in Figure 5.1. Figure 5.1: MBIST Architecture The MBIST wrapper further includes an address generator to provide complete memory address sequences, a Background Pattern Generator (BPG) to produce the data patterns when testing word-oriented memories, a comparator (Compare) to check the memory out put against the expected correct data pattern, and a FSM to generate proper test control signals based on the commands received from the MBIST controller. The FSM performs the March, through up/down counter to generate address, a data generator, and minimal control circuitry to control the memory enable signals. The MBIST controller pre- process

8 the commands received from the upper level controller (either on-chip microprocessor or off-chip ATE) and then send them to the MBIST wrapper. The interconnection between the wrapper and the controller could be either serial (i.e., a single command line is shared by the wrapper) or parallel (i.e., dedicated multiple command lines are linking different wrappers to the controller). Figure 6.2: A Generic State Diagram of the FSM based MBIST The main steps for running MBIST as shown in figure 5.2 are: 1. When the Test mode signal is asserted, the BIST controller starts running memory test. The test mode signal must be asserted until the controller completes the test and asserts the test End signal.

9 88 2. For each test session, the controller generates the conm I sip& to the upldown counter, readlwrite logic and pattern generators logic depending on the March element to be run. 3. After the controller commands the above logic, it monitors the response data by shifting the data out to the comparator logic. If a fault is detected, the error signal is asserted by the controller to report the error status. 4. The error signal acts as an initialization signal to the BISD unit. 5. If no error is found, by the present march element the controller switches to the next state and repeats the commands till the test session is finished. 6. With each fault detection signal from the BIST process, the BISD unit collects the fault information like address, fail map and the count of faults. 7. After completing the last test state without any fault, the BIST end signal is asserted to denote that the memory under test have passed the test and test-mode signal may be de-asserted if no fault is detected, else asserts the ERROR signal 8.4 BISD Architecture The top level architecture of the proposed BISD consists of BIST controller, BISD controller, memory under test and external stimuli, usually a microprocessor which accesses the memory under test. Here

10 BIST and BISD are treated as one module and they go together in this architecture. However, additional circuit components like multiplexers are used to channel the signals like read, write, data in, data out, address coming from the microprocessor (externally) and from the BIST controller internal to the chip), depending on the mode. In test mode the output from the memory array i.e., data out will be given to the de- multiplexer which gets distributed to two signals, of which one signal will be going to the comparator to detect the presence of errors, while the other signal is the output of the memory under normal mode. The presencelabsence of error is indicated using the output signal 'ERROR'. Whenever, an error is detected by the BIST in a memory location, immediately the fail map and the corresponding memory address will be stored for the self repair process BISD Implementation The proposed BISD block consists of three sub-blocks viz; BIST controller for fault detection, BISD controller for the diagnosis and a set of registers to store the fail maps and their corresponding addresses for fault diagnosis. Fail map is nothing but the bitmap of the faulty word of the memory under test. For example, if ' " is the expected data from a memory location and " " is the dak read from that memory location due to certain fault, and then the fail map is generated

11 ,. J,>{ dnb Y by logically ex-oring the expected data and the read data, resul the fail bit data, i.e., " " for this example. As mentioned earlier, the BIST controller is based on a finite state machine, each state representing one of these March elements. The algorithm can be implemented using seven state transitions (including initial state) as shown in figure5.3 In each of the states (except the initial state) either a 'read' operation or a 'write' operation or a combination of both will be taking place. However, word oriented memories should be checked for coupling faults. Hence, the number of states is increased to thirteen viz: states SC1 to SC7 for coupling faults and S1 to 56 for the remaining faults. In each of those additional states, a set of patterns shown in table 5.2 and table 5.3, are written and read from the memory cells. To Start with, any BIST controller designed using March test procedures stays in the initial state, as and when the specified conditions are met, the state transition begins. Depending on the March algorithm, a particular March element runs through the entire memory array either in incrementing or in decrementing fashion.

12 When Modes '0' When reset *'01 When Mode= 'I' Address = 'max' Address '0' a / \ I I d Address = '0' \ ~ddress ='max9 ' Address = 'max' Address '0' Figure 5.3: State diagram for March C algorithm BIST Controller Implementation BIST controller is implemented as a FSM, which makes use of March C algorithm, explained in Basically for the implementation it is considered as five processes, among which three are sequential and two are combinational in nature. Each of the process deals with one unit of the BIST architecture. The processes are explained below. a Process 1 deals with the reset logic. The machine stays in initial state until the reset and the test mode signals are enabled. i.e., when the reset and mode signals are active high, it changes its state.

13 Rocess 2 deals with state change logic. Depending upon the March elements, for every rising edge of the clock, the state changes if the conditions shown are met. Process 3 deals with the counter logic. It generates a complete set of addresses for the memory under test either in increasing or decreasing Process 4 deals with test pattern generation logic. It generates the test vectors specified by each March element in the corresponding state, depending on the signals control, read and write. Process 5 deals with the comparator logic. During memory 'read' cycle, the comparator checks the data from the memory against the correct response preloaded in the register using the signal 'data to comparator' to issue an error/no error signal Fault Diagnosis and Location The traditional March C algorithm is aimed at detecting the faults and it do not support implicit fault diagnosis and fault location. To efficiently address this problem, a March-based fault location algorithm has been proposed in this architecture. To accomplish this, a concurrent process runs along with BIST to store the failure information. A set of registers called 'Fail map Registers' are taken to store the failure information during the BIST. Each register is of two fields. The Most significant field bits represent the address field and the least significant field bits

14 represents the faulty bit information of the faulty row of the memory under test. As and when a fault is detected in the memory during BIST, the address of that memory location i.e,, row address will be stored in the first field and the corresponding fail map will be stored in the second field of the fail map registers. If the same location is subjected to a different fault at different instance during BIST, the second field bits will be updated with the new value and the address being the same. At the end of BIST, a set of faulty locations in the memory along with their corresponding fail maps will be available to aid for repairing those faulty locations. 5.8 Simulation and Synthesis report For the functional verification of the above proposed BISD architecture a memory block of sixteen words, each of one byte is used. Aldec Active HDL version 6.3 is used for simulation. The simulated code is synthesized using Xilinx ISE 8.2i Foundation Software, making use of the FPGA Spartan 3E.For higher memories ASIC tool 'Design Compiler' of Synopsis is used, targeted to 0.13micron technology with an operating frequency of 250MHz Simulation Reaults As mentioned earlier, the entire fault detection and diagnosis is performed using a FSM having thirteen states, each representing a

15 March C element. The following figures from figure 5.4 to 5.14 are the simulation results obtained for an SRAM of sixteen bytes. Reset logic When the reset signal (ramcontroller-rst-i) is low, the machine will be in its initial state (SO-init). As and when the reset signal is active high and the mode is test mode (ramcontroller-test-mode-i= '13 the machine gets started with a transition from initial state to the first state SC1 as shown in figure 5.4. The signal march-start-i is used to trigger the FSM, Figure5.5 shows the complete cycle of the state machine depicting the thirteen states. Figure 5.4: State transition from initial state 80-init to SC1 Figure 6.5: Thirteen rtater in the F W

16 Address Generation: Figure 5.6 shows the address increment in state S1. The March element in this state is {UfiwO}, i.e.; writing zero in all the sixteen memory locations, addresses of which are generated by the counter signal ramcontroller-count-o. Figure 5.6 Addretm Increment in 81 Fault induction in SC1: Figure5.7 shows how an error is simulated into the memory location. When the signal ramcontroller-cntl-i is low, an erroneous data of FF is written into the memory locations 06 and 07 instead of OF. Figure 6.7: Fault Induction in SC1

17 Fault detection in SC2: The faults in locations 06 and 07 are detected by the comparator during the 'read' (active low) operation in SC2 state as shown in the figure 5.8. The fail maps and the corresponding faulty addresses are also stored in fail map registers FMO and FM 1. Figure 5.8: Fault Detection in 8C2 Similarly, figures 5.9 and 5.10 show the fault simulation and detection in OE and OF memory locations in states SC3 and SC4 respectively.

18 Figure 5.9: Fault Induction in SC3 Figure 5.10: Fault Detection in SC4 The ramcontrollor~error~out signal in figure 5.11 indicates the fault in OE and OF memory locations. It is an output signal (active high) indicating whether the memory is faulty or erroneous.

19 Figure 5.11 Error output signal b State transition The March element in state S3 is {h(rl,w0) i.e., reading the data " " which was written in previous state (S2) and writing the data " " in all the memory locations in increasing order (00 to OF). The March element in state S4 is {U (r0,wl) i.e., reading the data " " which was written in previous state (S3) and writing the data ' " in all the memory locations in decreasing order (OF to 00). Figure 5.12 shows the state transition form 53 to S4 when the address is OF. Figure 5.12: State Transition from State 83 to state 84

20 Figure 5.13 shows the address decrementing in state S4 as the March element in this state is {U (ro, w 1). Figure 5.13: Address Decrement in 84 FSM Completion After all the March elements (all 13 states) are executed, the state machine returns to the initial state SO-initial with an indication by the march-done-o signal being high, shown in figure The fail maps shown in the figure 5.14 indicates that the memory under test consists of four faulty locations. Their addresses and the corresponding fail maps can also be seen. Figure 5.14: March C completion.',,/.:,-' i i ' t. _..- I---.-_,-.-., -.,':I, ,, :): oc\,' / ' \ /? I 11' I,,'. '.

21 5.5.2 Synthesis Results The simulated code is synthesized using Xilinx ISE 8.2i Foundation Software, making use of the FPGA Spartan 3E. For higher memories ASIC tool 'Design Compiler' of Synopsis tool is used, targeted to 0.13 micron technology with operating frequency of 250MHz. The following figures from figure 5.15 to figure 5.18 show the top level RTL schematics of the proposed BlSD design. Figure5.15: RTL Schematic top view of the proposed Memory BI8D

22 101 The internal views of the schematic are shown in the figures. 16 to Figure 5.16: Internal Block Schematic of the proposed BISTIBI8D

23 - Figure 5.17: Internal Schematic of B18T Controller

24 Flgurs 5.18 {a)

25 Figure 6.18 (b)

26 Figure 5.18: Internal Gate level Schematics of BIST Controlhr 5.6 Conclusions From the present FSM based BISTIBISD architecture design different parameters are analyzed which are discussed below.

27 Timing Report and gate count Comparison Table 5.4 shows the access time (Total testing time) and the gate count for different SRAM sizes. It shows a non-exponential increase in the access time as the size of memory increases. * Memory Sizes lk bytes 4k bytes 8k bytes 16k bytea 32k bytes 64k bytes Module B18T BIST BIST BIST BIST BIST Time 8.334ns 8.512ns 9,280ns ns no ns Gate Count Table 5.4: Comparison of Access Time and gate count

28 Memory Memory + BIST Aocsrr 8ke 8 8FF LUT IOB C 8 SFF LUT IOB C ' ~ P C (Bib) WORD 1024 Adblc unit8 in Spartan 3E 7 i F ~ ~ ~ ~ ' I! Table 6.5: Comparison of Device Utilization with and without BI8T S-Slices SFF-Slice Flip Flops LUT- Loo k-up Tables IOB- Input/ Output Blocks C-Clock Table 5.5 gives a detailed comparison on the device utilization in FPGA Spartan 3E, in terms of slices, slice flip flops, look-up tables, input/output blocks and clocks.

29 Memory Sizes l6bytes 4k bytes 32bytes 64k bytes Module MBIST MBlST MBlST MBIST (Access type Word Wise) Power ~~ ~~ ~~ 201.OYuw Area Over head mm mm mm mm Time ns ns ns ns Cell Count Table 5.6: Comparison of Access Times, Area overhead and Dynamic Power for BIST /BED using Design Compiler The gate count for different memory sizes is shown in the table 5.4. No significant increase in the area over head is observed. Table 5.6 gives the

30 cell counts, area overhead, power dissipation and time delay for different memory blocks. These are obtained from the Design Compiler tool. The figure 5.19 expresses the variation in power, area overhead, cell count and time delay for different memory sizes with the proposed BISTIBISD design. 1 C4*h*n.mM Po*.ffofBlsTml~ 1 Comp~rhion 61 YOI ovorho~d using Design Cornpilaw using Design Complkr I I I for BlSTiBlNI On*MofI*loCmmDu~ D*I. 1 Conparldon dqil Mkw WTfNSD udng Onpikr I i i Ikdgn Compiler Memory / I Figure 5.19: Overhead due to BIBT Circuitry for Merent Memory Sizes

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool Performance Analysis, Designing and Testing 512 Bit Sram emory Chip Using Xilinx/odelsim Tool onika Solanki* Department of Electronics & Communication Engineering, B Engineering College, Jodhpur, Rajasthan

More information

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

A Case Study. Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University Built-In Self-Test for System-on on-chip: A Case Study Charles Stroud, Srinivas Garimella,, John Sunwoo, Jonathan Harris, and Jared Phillips Dept. of Electrical and Computer Engineering Auburn University

More information

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Srinivas Murthy Garimella Master s Thesis Defense Thesis Advisor: Dr. Charles E. Stroud Committee Members: Dr. Victor P. Nelson

More information

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow.

Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Lab 3 Sequential Logic for Synthesis. FPGA Design Flow. Task 1 Part 1 Develop a VHDL description of a Debouncer specified below. The following diagram shows the interface of the Debouncer. The following

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Chapter 9: Integration of Full ASIP and its FPGA Implementation Chapter 9: Integration of Full ASIP and its FPGA Implementation 9.1 Introduction A top-level module has been created for the ASIP in VHDL in which all the blocks have been instantiated at the Register

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses

Today. Comments about assignment Max 1/T (skew = 0) Max clock skew? Comments about assignment 3 ASICs and Programmable logic Others courses Today Comments about assignment 3-43 Comments about assignment 3 ASICs and Programmable logic Others courses octor Per should show up in the end of the lecture Mealy machines can not be coded in a single

More information

An easy to read reference is:

An easy to read reference is: 1. Synopsis: Timing Analysis and Timing Constraints The objective of this lab is to make you familiar with two critical reports produced by the Xilinx ISE during your design synthesis and implementation.

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

Field Programmable Gate Array (FPGA)

Field Programmable Gate Array (FPGA) Field Programmable Gate Array (FPGA) Lecturer: Krébesz, Tamas 1 FPGA in general Reprogrammable Si chip Invented in 1985 by Ross Freeman (Xilinx inc.) Combines the advantages of ASIC and uc-based systems

More information

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Fall 2002 EECS150 - Lec06-FPGA Page 1 Outline What are FPGAs? Why use FPGAs (a short history

More information

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC Implementation of FSM-MBIST and Design of Hybrid MBIST for cluster in Asynchronous SoC Lakshmi H R BNM Institute of technology Varchaswini R BNM Institute of technology Yasha Jyothi M Shirur BNM Institute

More information

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE

CHAPTER 5. CHE BASED SoPC FOR EVOLVABLE HARDWARE 90 CHAPTER 5 CHE BASED SoPC FOR EVOLVABLE HARDWARE A hardware architecture that implements the GA for EHW is presented in this chapter. This SoPC (System on Programmable Chip) architecture is also designed

More information

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs? EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) September 12, 2002 John Wawrzynek Outline What are FPGAs? Why use FPGAs (a short history lesson). FPGA variations Internal logic

More information

VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory

VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory I J C T A, 9(34) 2016, pp. 367-380 International Science Press VLSI Architecture for an Efficient Memory Built in Self Test for Configurable Embedded SRAM Memory Nisha O. S. 1 and Dr. K. Siva Sankar 2

More information

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM)

FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) FPGA Interfacing of HD44780 Based LCD Using Delayed Finite State Machine (FSM) Edwin NC Mui Custom R & D Engineer Texco Enterprise Ptd. Ltd. {blackgrail2000@hotmail.com} Abstract This paper presents a

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

outline Reliable State Machines MER Mission example

outline Reliable State Machines MER Mission example outline Reliable State Machines Dr. Gary R Burke California Institute of Technology Jet Propulsion Laboratory Background JPL MER example JPL FPGA/ASIC Process Procedure Guidelines State machines Traditional

More information

PINE TRAINING ACADEMY

PINE TRAINING ACADEMY PINE TRAINING ACADEMY Course Module A d d r e s s D - 5 5 7, G o v i n d p u r a m, G h a z i a b a d, U. P., 2 0 1 0 1 3, I n d i a Digital Logic System Design using Gates/Verilog or VHDL and Implementation

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

SHA3 Core Specification. Author: Homer Hsing

SHA3 Core Specification. Author: Homer Hsing SHA3 Core Specification Author: Homer Hsing homer.hsing@gmail.com Rev. 0.1 January 29, 2013 This page has been intentionally left blank. www.opencores.org Rev 0.1 ii Rev. Date Author Description 0.1 01/29/2013

More information

Outline of Presentation

Outline of Presentation Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula and Charles Stroud Electrical and Computer Engineering Auburn University presented at 2006 IEEE Southeastern Symp. On System

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor

New Approach for Affine Combination of A New Architecture of RISC cum CISC Processor Volume 2 Issue 1 March 2014 ISSN: 2320-9984 (Online) International Journal of Modern Engineering & Management Research Website: www.ijmemr.org New Approach for Affine Combination of A New Architecture

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

2015 Paper E2.1: Digital Electronics II

2015 Paper E2.1: Digital Electronics II s 2015 Paper E2.1: Digital Electronics II Answer ALL questions. There are THREE questions on the paper. Question ONE counts for 40% of the marks, other questions 30% Time allowed: 2 hours (Not to be removed

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007

EECS Components and Design Techniques for Digital Systems. Lec 20 RTL Design Optimization 11/6/2007 EECS 5 - Components and Design Techniques for Digital Systems Lec 2 RTL Design Optimization /6/27 Shauki Elassaad Electrical Engineering and Computer Sciences University of California, Berkeley Slides

More information

Laboratory Memory Components

Laboratory Memory Components Laboratory 3 3. Memory Components 3.1 Objectives Design, implement and test Register File Read only Memories ROMs Random Access Memories RAMs Familiarize the students with Xilinx ISE WebPack Xilinx Synthesis

More information

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE

DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE DEVELOPMENT AND VERIFICATION OF AHB2APB BRIDGE PROTOCOL USING UVM TECHNIQUE N.G.N.PRASAD Assistant Professor K.I.E.T College, Korangi Abstract: The AMBA AHB is for high-performance, high clock frequency

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4) Verilog Sequential Logic Verilog for Synthesis Rev C (module 3 and 4) Jim Duckworth, WPI 1 Sequential Logic Module 3 Latches and Flip-Flops Implemented by using signals in always statements with edge-triggered

More information

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control,

Basic Processing Unit: Some Fundamental Concepts, Execution of a. Complete Instruction, Multiple Bus Organization, Hard-wired Control, UNIT - 7 Basic Processing Unit: Some Fundamental Concepts, Execution of a Complete Instruction, Multiple Bus Organization, Hard-wired Control, Microprogrammed Control Page 178 UNIT - 7 BASIC PROCESSING

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Chapter 13 Programmable Logic Device Architectures

Chapter 13 Programmable Logic Device Architectures Chapter 13 Programmable Logic Device Architectures Chapter 13 Objectives Selected areas covered in this chapter: Describing different categories of digital system devices. Describing different types of

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852

[Zeenath, 3(3): March, 2014] ISSN: Impact Factor: 1.852 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Memory Debug Technique Using March17N BIST Ms. Zeenath Assistant Professor in Electronic & Communication Engineering at Nawab

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Embedded Systems Design Prof. Anupam Basu Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Embedded Systems Design Prof. Anupam Basu Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Embedded Systems Design Prof. Anupam Basu Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture - 05 Optimization Issues Now I see, that is not been seen there;

More information

Built-In Self-Test for System-on-Chip: A Case Study

Built-In Self-Test for System-on-Chip: A Case Study Built-In Self-Test for System-on-Chip: A Case Study Charles Stroud, John Sunwoo, Srinivas Garimella, and Jonathan Harris Dept. of Electrical and Computer Engineering Auburn University, Alabama USA ABSTRACT

More information

Introduction to Field Programmable Gate Arrays

Introduction to Field Programmable Gate Arrays Introduction to Field Programmable Gate Arrays Lecture 1/3 CERN Accelerator School on Digital Signal Processing Sigtuna, Sweden, 31 May 9 June 2007 Javier Serrano, CERN AB-CO-HT Outline Historical introduction.

More information

Laboratory Finite State Machines and Serial Communication

Laboratory Finite State Machines and Serial Communication Laboratory 11 11. Finite State Machines and Serial Communication 11.1. Objectives Study, design, implement and test Finite State Machines Serial Communication Familiarize the students with Xilinx ISE WebPack

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Lecturer: Alexander Jäger Course of studies: Technische Informatik Student number: 3158849 Date: 30.01.2015 30/01/15 Advanced FPGA Design Methodologies

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS)

INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) INTRODUCTION TO FIELD PROGRAMMABLE GATE ARRAYS (FPGAS) Bill Jason P. Tomas Dept. of Electrical and Computer Engineering University of Nevada Las Vegas FIELD PROGRAMMABLE ARRAYS Dominant digital design

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

Register Transfer Level in Verilog: Part I

Register Transfer Level in Verilog: Part I Source: M. Morris Mano and Michael D. Ciletti, Digital Design, 4rd Edition, 2007, Prentice Hall. Register Transfer Level in Verilog: Part I Lan-Da Van ( 范倫達 ), Ph. D. Department of Computer Science National

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko

VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko 136 VHDL-MODELING OF A GAS LASER S GAS DISCHARGE CIRCUIT Nataliya Golian, Vera Golian, Olga Kalynychenko Abstract: Usage of modeling for construction of laser installations today is actual in connection

More information

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing

Learning Outcomes. Spiral 3 1. Digital Design Targets ASICS & FPGAS REVIEW. Hardware/Software Interfacing 3-. 3-.2 Learning Outcomes Spiral 3 Hardware/Software Interfacing I understand the PicoBlaze bus interface signals: PORT_ID, IN_PORT, OUT_PORT, WRITE_STROBE I understand how a memory map provides the agreement

More information

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr.

EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board. (FPGA Interfacing) Teacher: Dr. EITF35 - Introduction to the Structured VLSI Design (Fall 2016) Interfacing Keyboard with FPGA Board (FPGA Interfacing) Teacher: Dr. Liang Liu v.1.0.0 1 Abstract This document describes the basic behavior

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October-2013 31 FPGA based complex test pattern generation for high speed fault diagnosis in memory blocks S. Charitha

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani DESIGN AND TESTABILITY OF Z-TERNARY CONTENT ADDRESSABLE MEMORY LOGIC Keerthiga Devi S. 1, Bhavani, S. 2 Department of ECE, FOE-CB, Karpagam Academy of Higher Education (Deemed to be University), Coimbatore,

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

BRIDGE PIF / WISHBONE

BRIDGE PIF / WISHBONE June 27 Politecnico of Torino BRIDGE PIF / WISHBONE Specification Authors: Edoardo Paone Paolo Motto Sergio Tota Mario Casu Table of Contents May 27 Table Of Contents Table of Figures May 27 Table Of Figures

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

Memory and Programmable Logic

Memory and Programmable Logic Memory and Programmable Logic Memory units allow us to store and/or retrieve information Essentially look-up tables Good for storing data, not for function implementation Programmable logic device (PLD),

More information

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it.

2. BLOCK DIAGRAM Figure 1 shows the block diagram of an Asynchronous FIFO and the signals associated with it. Volume 115 No. 8 2017, 631-636 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu DESIGNING ASYNCHRONOUS FIFO FOR LOW POWER DFT IMPLEMENTATION 1 Avinash

More information

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy

A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy A Low Power DDR SDRAM Controller Design P.Anup, R.Ramana Reddy Abstract This paper work leads to a working implementation of a Low Power DDR SDRAM Controller that is meant to be used as a reference for

More information

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs

Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs Actel s SX Family of FPGAs: A New Architecture for High-Performance Designs A Technology Backgrounder Actel Corporation 955 East Arques Avenue Sunnyvale, California 94086 April 20, 1998 Page 2 Actel Corporation

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information