Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Size: px
Start display at page:

Download "Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan"

Transcription

1 Chapter 7 Memory Testing Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan

2 Outline Importance of Embedded Memories RAM Functional Faults March Tests Converting Bit-Oriented Oi td RAM Tests into Word- Oriented RAM Tests RAM BIST Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 2

3 Embedded Memory The Key to SOC Embedded memory is becoming more central to integrated circuit design Historically, ICs were dominated by the logic functions, with memory being external Today, an SOC contains many memory blocks of different sizes, shapes and functionality Typically, embedded memories represent about 30%~50% SOC area The Semiconductor Industry Association (SIA) predicts that 90% of the SOC s surface will be memory by 2011 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 3

4 Embedded Memory The Key to SOC SOC memory continues to increase Memory Area Reused Logic Area New Logic Area 20% 16% 52% 71% 83% 90% 94% 64% 16% 13% 32% 9% 6% 16% 4% 8% 4% 2% * 11* 14* *Foreast Source: SIA, ITRS, 2000 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 4

5 Embedded Memory Quality During manufacture Yield Exponential yield model AD Y = e, where A and D denote the area and defect density, respectively After manufacture Reliability During use Soft error rate Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 5

6 Quality During Manufacture Issues of embedded memory As the density of transistors is increased, the D is increased compared to logic About 2X logic for high density 6-T SRAM Solutions Redundancy & laser repair using ATE Error correction code (ECC) Redundancy & repair Achieve yield parity with logic, or better About 3% area overhead Recommended over 1Mb Laser repair manufacturing flow Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 6

7 ECC Quality During Manufacture Detect/repair defects in individual words 25-30% area overhead Ex: 6 extra bits for single bit correction in 32 bit words Latency penalty At least one clock cycle latency penalty Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 7

8 Quality-Insurance Methods for Memories in SOCs Memory Tester Laser Repair Memory Tester.Large Capture Memory.Redundancy analysis.swap the defective cells.test the repaired memories Conventional test and repair approaches 1. Long testing time 2. Expensive memory tester and logic tester are needed Logic Tester.Test the remaining non-memory components.test Built-In Self-Test (BIST) BIST & BISR approaches 1. Short testing time 2. Only cheap logic tester is needed.diagnostics.redundancy allocation.swap the defective cells Built-In Self-Diagnosis (BISD) Built-In Redundancy d Analyzer (BIRA) Redundancy Reconfiguration Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 8

9 2-D Memory Architecture S 0 Word A 0 A 1 A k-1... Row De ecoder S 1 Word i S n A 0 A j-1 Column Decoder Word ni-1 Sense Amplifier Read/Write Circuit 2 m -bit Input/Output Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 9

10 3-D Memory Architecture ock Blo olumn Co Row Input/Output Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 10

11 Memory Testing Fault modeling Test generation Fault simulation DFT & DFM Wafer fabrication Repair Die separation, packaging Burn-in Shipment Probe test Post-repair Probe test Pre-BI Test Final Test Test-Preparation Phase Test-Execution Phase Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 11

12 Functional RAM Model address address latch column decoder row deco oder memory cell array write driver sense amplifier read/write & enable data register data in data out Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 12

13 Reduced Functional Model data Rea ad/write logic Mem mory cell array ad ddress de ecoder ad dress The address latch, the row, and the columndecoder are combined to the address decoder They y all concern addressing the right cell or word The write driver, the sense amplifier, and the data register are combined to the read/write logic They all concern the transport of data from and to the memory cell array Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 13

14 Reduced Functional Faults Stuck-at k fault (SAF) Definition: The logic value of a stuck-at (SA) cell or line is always 0 or 1. It is always in state 0 or in state 1 and cannot be changed to the opposite state Detection requirement: From each cell or line, a 0 or 1 must be read Transition fault (TF) Definition: fiii A cell that fails to undergo a 0 to 1 transition when it is written is said to contain an up transition fault A down transition fault indicates that a cell fails to undergo a 1 to 0 transition Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 14

15 Reduced Functional Faults A TF can be thought of as a set/reset (S/R)-type flipflop with a SAF on the set or reset input SA0 S Q R Q Detection requirement: Each cell should undergo up and down transitions and be read after each transition before undergoing further transitions Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 15

16 State Diagram for SAFs & TFs w1 w0 S 0 S 1 w1 w0 State diagram of a good cell w1 w0 S 0 w1 w0 S 1 w1 w0 S 0 S w0 1 SA0 fault SA1 fault TFu w1 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 16

17 Reduced Functional Faults Coupling faults (CFs) 2-cell coupling faults Different types of CFs CFin Inversion CF (CFin) Idempotent CF (CFid) State CF (CFst) Dynamic CF (CFd) A 0 to 1 (or 1 to 0) transition in one cell inverts the content of a second cell An CFin can be thought of as a D-type flip-flop flop with an extra clock input C d and the Q output tied to the D input, as depicted in the following figure. Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 17

18 Reduced Functional Faults D Q CFid C n C d Q A 0 to 1 (or 1 to 0) transition in one cell forces the content of a second cell to a certain value, 0 or 1. An idempotent coupling fault can be thought of as an S/R-type flip-flop flop with an OR-gate in the Set or Reset line, as depicted in the following figure. S n is the normal set input whereas S d is the undesired set input due to coupling with one or more other flip flops. Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 18

19 Reduced Functional Faults S n S d S Q CFst R n R Q A 0 or 1 state in one cell forces the content of a second cell to a certain value, 0 or 1 It can be thought of as a D-type flip-flop with an OR/AND-gate in the data line (D), as depicted in the following figure. D n is the normal set input whereas D d is the undesired set input due to coupling with one or more other flip flops Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 19

20 Reduced Functional Faults D n D d D Q clk Q Dynamic Coupling Fault (CF d ) Occur between cells in different words. A read or write operation on one cell forces the content of the second cell either 0 or 1. Denoted as <rx wy; z> where denotes the or of the read and write operations Four possible CF d faults <r0 w0;0>, <r0 w0;1>,<r1 w1;0>, and <r1 w1;1> Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 20

21 State Diagrams for CFin & CFid w0/i, w0/j S 00 w1/j w0/j S 01 w0/i, w1/j w0/i w1/i w0/i w1/i w1/j w1/i, S 10 S w1/i, 11 w0/j w0/j w1/j State diagram of two good cells w0/i, w0/j w1/i, w0/j S 00 w0/j w1/j S 01 w0/i w1/i w0/i w1/i w1/j S 10 w0/j S 11 State diagram of an CFin<u;i> w0/i, w1/j w1/i, w1/j w0/i, w0/j w0/i, S 00 S w0/j 01 w1/j w1/j w0/i w1/i w0/i w1/i w1/i, w0/j w1/j S 10 S w1/i, 11 w0/j w1/j State diagram of an CFid<u;1> Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 21

22 Summary of CFs Note that t all definitions iti talk about single-way faults, that is, the presence of a CF from cell i to cell j does not imply the presence of a CF from cell j to cell i. Suppose that a transition or state in cell j can induce a coupling fault in cell i. Cell i is then said to be coupled cell (or victim); cell j is called the coupling cell (or aggressor). A test that has to detect and locate all coupling faults should satisfy For all coupled cells, each cell should be read after a series of possible coupling faults may have occurred Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 22

23 BL Relation Between Faults & Defects Df Defect d(i 1 (inverse node shorted to V dd ) causes a SA0 fault Defect d 2 (true node shorted to V ss ) causes a SA0 fault V dd Defect d 3 (open true node gate) cause a SA1 fault R R d 7 Df d( d 1 d4 WL causes all cells after the WL fault to be inaccessible (AF) d 5 d 3 d 2 d 6 Defect d 5 (a short between the true node and BL) will pull BL down if V the cell contains a 0, but will not ss affect BL if the cell contains 1. This is the state coupling fault <0;0> BL Defect d 6 (short between inverse node and BL ) is similar, as is the state coupling fault <1;1> Defect d 7 (open BL ) prevents cells after the open defect from passing a logic 1 value on BL Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 23

24 Relation Between Faults & Defects Defect d 1 (a word line connected to V ss ) causes all cells in the word line to be stuck open. V dd A defect in the poly silicon layer covering a diffusion region may result R R in the creation of an extra pass transistor. This defect (d 2 ) causes a d transition fault. 3 WL Defect d 3 (a broken pull up resistor) introduces a data retention fault. If the d 1 cell is not accessed, the cell node with d V the broken pull up resistor can be 2 ss floating high or active low. If the node is floating high, the leakage current BL BL from the cell node to the substrate will decline the voltage at the node. If the node voltage passes the threshold voltage V th the data in the cell will invert. If the node is active low, the cell will function correctly. Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 24

25 March Tests A march test consists of a finite sequence of march elements A march element A finite sequence of Read and/or Write operations applied to every cell in memory in either increasing address order (cell 0 to cell n-1) or decreasing address order (cell n-1 to cell 0) All operations of a march element are done before proceeding to the next address The march tests are a preferred method for RAM testing Linear complexity, regularity, and symmetry Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 25

26 March Test Notation rx: a read x operation wx: a write x operation : increasing addressing sequence (from 0 to n- 1) : decreasing addressing sequence (from n-1 to 0) : either increasing or decreasing addressing sequence Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 26

27 An Example of March Test An example of march test { ( w1); ( r1, w0)} (w1) Addressing Addressing Addressing Addressing cell 0 cell 1 cell 2 cell 3 1 X X X X X 1 X 1 1 Initial state X X X X Addressing cell 0 Addressing cell 1 Addressing cell 2 Addressing cell (r1,w0) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 27

28 March Tests for SAFs & TFs MATS+: { ( w0); ( r0, w1); ( r1, w0)} MATS+ detection of SA0 fault Good memory Good memory Good memory after M0 after M1 after M2 Bad memory after M Bad memory after M1 Bad memory after M2 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 28

29 March Tests for SAFs & TFs MATS+ detection of SA1 fault Good memory after M Good memory after M1 Good memory after M Bad memory after M Bad memory after M Bad memory after M2 MATS+ detection of TFu & TFd can be proved in the same way Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 29

30 Tests for Detecting SAFs & TFs Conditions for detecting SAFs & TFs SAFs & TFs can be detected by a march test which contains the following two march elements (or single march element containing both elements) (..., w0, r0,...) (..., w 1, r1,...) to detect SA1 faults and TFd to detect SA0 faults and TFu Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 30

31 March C -: Detection of CFs March Tests for CFs { ( w0); ( r0, w1); ( r1, w0); ( r0, w1); ( r1, w0); ( r0)} M1 is executed Cell 0 is Cell 1 is Cell 2 is Cell 3 is Cell 8 is addressed addressed addressed addressed addressed M3 is executed Cell 0 is addressed Cell 1 is addressed Cell 2 is addressed Cell 3 is addressed Cell 8 is addressed Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 31

32 March Tests for CFs Conditions for detecting ti CFs A march test which contains one of the two pairs of march elements of Case A & Case B can detect simple CFs (CFin, CFst, CFid) Case A ( rx,, wx ) ( rx,, wx ) Case B ( rx,, wx) ( rx,, wx) 1. ( rx,, wx) ( rx,, wx) 2. ( rx,, wx ) ( rx,, wx ) A.1 (A.2) will sensitize the CFs, and it will detect the fault, when the value of the fault effect is x (x), by the rx (rx ) operation of the first (second) march element when the coupled cell has a higher (lower) address than the coupling cell Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 32

33 March Tests for DRFs Data retention faults (DRFs) DRF has two subtypes A stored 1 will become a 0 after a time T A stored 0 will become a 1 after a time T Conditions for detecting DRFs Any march test can be extended to detect DRFs The detection of each of the two DRF subtypes requires that a memory cell be written into the corresponding logic states t If we are interested in detecting simple DRFs only The delay elements can be placed between any two pairs of march elements, e.g., ( rx,, wx) ; Del; ( rx,, wx ) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 33

34 Tests for Word-Oriented Memories Fault models for word-oriented memories (WOMs) Only the class of memory cell array faults for bitoriented memories (BOMs) has to be extended in order to cover WOMs The fault models for WOMs can be classified into two classes Single-cell faults SAFs, TFs, data retention faults (DRFs), etc. Faults between memory cells CFs Two classes of faults between memory cells for WOMs needed d to be considered d Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 34

35 Tests for Word-Oriented Memories CFs in BOMs CFs in WOMs Inter-word CFs & intra-word CFs Intra-word CF Inter-word CF Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 35

36 Converting March Tests Any given BOM march test can be converted to a WOM march test With additional tests to cover intra-word faults A WOM march test is a concatenation of two march tests {Inter-word march test, intra-word march test} The inter-word march test can directly be obtained from the BOM march test t Replace the bit-operation r0, w0, r1, and w1 with the word-operation operation rd, wd, rd, and wd, where D is called data background Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 36

37 Converting March Tests The intra-word faults can be detected by a single march element with different operations and data backgrounds E.g., g intra CFst can be covered by ( wd, rd,..., wd, rd 1 1 n n) with various data backgrounds (DBs) Note that the DBs can be applied in any order The above intra-word test can be modified as follows, without any impact on the fault coverage Extra Read operations can be added The e single march element e e can be divided ded into any number of march elements, and for each march element the addressing order can be chosen freely Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 37

38 Compact WOM Tests If you have a bit-oriented march test, then you can obtain a compact WOM test with Replace the bit-operation r0, w0, r1, and w1 with all-0 and all-1 data backgrounds Concatenate a march element ( wd, wd, rd, wd, rd ) for d={ , , } For example, the March C- can be extended das follows to test a memory with 4-bit words { ( w0000 ); ( r0000, w1111); ( r0000, w11111); ( r1111, w0000 ); ( w0101, w1010, r1010, w0101, r0101); ( w 0011, w 1100, r 1100, w 0011, r 0011)} ( r11111, w0000 ); ( r0000 ); Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 38

39 Memory Organization & WOM Tests WOMs can be organized internally in many different ways Adjacent; interleaved; sub-arrays adjacent interleaved sub-array For sub-array organized WOMs, the BOM tests for CFs will detect the CFs within a B-bit word such that no intra-word tests are required Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 39

40 RAM BIST A typical RAM BIST consists of the following components Controller, test pattern generator, and comparator Controller Generate control signals to the test pattern generator & the memory under test Test pattern generator (TPG) Generate the required test patterns and Read/Write signals Comparator Evaluate the response Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 40

41 Typical RAM BIST Architecture Normal I/Os Test Controller Test Pattern Generator Test Collar RAM Go/No-Go Comparator Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 41

42 RAM BIST In general, two BIST approaches have been proposed p for the RAMs FSM-based RAM BIST ROM-based RAM BIST Controller Generate control signals to the test pattern generator & the memory under test Test pattern generator (TPG) Generate the required test patterns and Read/Write signals Comparator Evaluate the response Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 42

43 Controller An example of the state diagram of controller W0 S 0 NOT last address? R0 W1 S 1 S 2 NOT last address? R1 W0 S 3 S 4 NOT first address? R0 W1 S 5 S 6 NOT first address? End S 7 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 43

44 Programmable RAM BIST An example of the programmable RAM BIST Normal I/Os BSI BSC BRS Co ontroller r CMD TGO ENA TPG & Compa Test Collar RAM BGO DONE rator CLK BNS Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 44

45 FSM State Diagram of the Controller Idle BRS=1 DONE=1 BSC=1 Shift_cmd BSC=0 Get_cmd Apply ENA=1 DONE=0 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 45

46 Programmability The programmability can be achieved by using test command The test command format U/D OP Data background U/D: ascending/descending address sequence OP: test operations For example, wa, rawa, rawa ra, warawa ra, etc. Data backgrounds The width of each field affects the programmability of the BIST design For example, if 4 bits are used for OP, then only 16 possible test operations can be generated Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 46

47 FSM State Diagram of the TPG Idle ENA=0 ENA=1 Init Null=1 Null=0 DONE/GO Ifetch Exec No-Go Dfetch Error=1 Compare Error=0 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 47

48 A typical ROM model ROM-Based BIST X m p ROM m+ p 2 ( n + p) n p n Register Y Source: R. Senhadji-Navarro, et al., Electronic Letters, 2004 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 48

49 ROM-Based BIST A typical ROM model for March test elements March Address element CEN WEN Invert Addr_Incr State 000_ Idle 001_ wa 010_ ra 011_ ra 011_ wa 100_ ra 100_ wa Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 49

50 Serial BIST Today s telecommunication ICs often have a variety of multi-port memories on one chip Typical RAM BISTs evaluate all the bits of a memory word in parallel as it is read We can encounter significant problems when applying these BIST schemes to chips that have multiple embedded RAMs of varying sizes and port configurations The area cost of these BIST designs would be unacceptably high One better solution is a serial BIST technique To share BIST design among several RAMs Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 50

51 Benefits of Serial BIST Only a small amount of additional circuitry is required Only a few lines are needed to connect the RAM to the test controller Several RAM blocks easily share the BIST controller hardware The serial-access mode does not compromise the RAM cycle time Existing memory designs do not need any modification to use the serial interface Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 51

52 Serial-Data-Path Connection Row decoder C i C i+1 Column decoder Write Read BIST on From previous output or serial input Latch Latch To next test input or serial input O i O i+1 I i I i+1 Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 52

53 Serial Shift Operation An example of serial shift operations for the match element (R0W1) Time Operation Serial Word Serial in content out 0 X 0 X 1 R0 X W R W X 1 X 0 5 R0 1 6 W R W Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 53

54 Serial March (SMarch) Assume that a RAM has W words, and each word contains C bits A Read operation is denoted by R0, R1, or Rx, depending on the expected value at the serial output (x=don t care) For a write operation, the terms W0 or W1 are used and only the serial input is forced to the value indicated The SMarch modified from March C- is as follows C C C ( RW RxW 0) ( R 0, W 0) ; ( R 0, W 1) ( R 1, W 1) ( R1, W 0) C ( R 0, W 0) ( C ; ( R 0, W 1) C C C R 1, W 0) ( R 0, W 0) ; ( R 0, W 0) ( R 0, W 0) C C ( R1, W 1) C C Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 54

55 Serial BIST Architecture BIST GO Done Counters Controller SO SI Control Data out lsb msb Data in Address C-1 Timing generator Multiplexer er Multiplexer er C C Multiplexer er Address Data in Data out Control RAM (W words of C bits) Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 55

56 References [1] M. Sachdev, Defect Oriented Testing for CMOS Analog and Digital Circuits, Kluwer Academic, [2] M.-L. Bushnell and V.-D. Agrawal, Essentials of Electronic Testing for Digital, Memory & Mixed-Signal VLSI Circuits, Kluwer Academic, [3] A. J. van de Goor and C. A. Verruijt, An Overview of Deterministic i i Functional RAM Chip Testing, ACM Computing Surveys, vol. 22, no. 1, March [4] A. J. van de Goor, I. B. S. Tlili, and S. Hamdioui, Converting March Tests for Bit-Oriented Memories into Tests for Bit-Oriented Memories, MTDT, pp , [5] A. J. van de Goor and G. N. Gaydadjiev, March U: a test for unlinked memory faults, IEE Proc. Circuit it Devices Syse., vol.144, no. 3, pp , [6] D. S. Suk and S. M. Reddy, A march test for functional faults in semiconductor random-access access memories IEEE Trans. Comput., C-30, 12, pp , [7] K. L. Cheng, M. F. Tsai, and C. W. Wu, Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories, IEEE Trans. CAD, vol.21, no.11, pp , 1336 nov., [8]C. F. Wu, C. T. Huang, and C. W. Wu RAMSES: a fast memory fault simulator, DFT99, pp , [9] B. Nadeau-Dostie, A. Silburt, and V. K. Agarwal, Serial interfacing for embedded-memory testing, IEEE D&T, pp.52-63, apr Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 56

57 References [10] C. W. Wu, VLSI testing & design for testability II: Memory built-in self-test, [11] A. J. van de Goor and C. A. Verruijt, An Overview of Deterministic Functional RAM Chip Testing, ACM Computing Surveys, vol. 22, no. 1, March [12] A. K. Sharma, Semiconductor Memories Technology, Testing, and Reliability, IEEE PRESS, [13] R. Dekker, F. Beenker, and L. Thijssen, Fault Modeling and Test Algorithm Development for Static Random Access Memories, Int. Test Conf., pp , [14] M. Sachdev, Defect Oriented Testing for CMOS Analog and Digital it Circuits, Kluwer Academic, [15] B. F. Cockburn, Tutorial on Semiconductor Memory Testing, JETTA, pp , 336, Advanced Reliable Systems (ARES) Lab. Jin-Fu Li, EE, NCU 57

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

Chapter 1. Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Dept. of Electrical Engineering. Jhongli, Taiwan

Chapter 1. Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Dept. of Electrical Engineering. Jhongli, Taiwan Chapter 1 Introduction to Memorie Advanced Reliable Sytem (ARES) Lab. Dept. of Electrical Engineering it Jhongli, Taiwan Outline Importance of Embedded Memorie Overview of Memory Structure 2 Embedded Memory

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

RAM Testing Algorithms for Detection Multiple Linked Faults

RAM Testing Algorithms for Detection Multiple Linked Faults RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

An Efficient Parallel Transparent Diagnostic BIST

An Efficient Parallel Transparent Diagnostic BIST An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy

Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy Efficient Built In Self Repair Strategy for Embedded SRAM with selectable redundancy *GUDURU MALLIKARJUNA **Dr. P. V.N.REDDY * (ECE, GPCET, Kurnool. E-Mailid:mallikarjuna3806@gmail.com) ** (Professor,

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

Design and Implementation of Built-in-Self Test and Repair

Design and Implementation of Built-in-Self Test and Repair P.Ravinder, N.Uma Rani / International Journal of Engineering Research and Applications (IJERA) Design and Implementation of Built-in-Self Test and Repair P.Ravinder*, N.Uma Rani** * (Guru Nanak Institute

More information

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement

An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement An Integrated ECC and Redundancy Repair Scheme for Memory Reliability Enhancement Chin-LungSu,Yi-TingYeh,andCheng-WenWu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

Testing Static and Dynamic Faults in Random Access Memories

Testing Static and Dynamic Faults in Random Access Memories Testing Static and Dynamic Faults in Random Access Memories Said Hamdioui 1 2 Zaid Al-Ars 2 Ad J. van de Goor 2 1 Intel Corporation, 2200 Mission College Boulevard, Santa Clara, CA 95052 2 Delft University

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

Jin-Fu Li Dept. of Electrical Engineering National Central University

Jin-Fu Li Dept. of Electrical Engineering National Central University Memory Built-In Self-Repair Dept. of Electrical Engineering National Central University Jungli, Taiwan Introduction Outline Redundancy Organizations Built-In Redundancy Analysis Built-In Self-Repair Infrastructure

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 3 Semiconductor Memories. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 3 Semiconductor Memories Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Introduction Random Access Memories Content Addressable Memories Read

More information

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER 2005 1721 A Built-In Self-Testing Method for Embedded Multiport Memory Arrays V. Narayanan, Swaroop Ghosh, Wen-Ben Jone, Senior

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

Flash Memory Testing. Cheng-Wen Wu 吳誠文. Lab for Reliable Computing Dept. Electrical Engineering National Tsing Hua University

Flash Memory Testing. Cheng-Wen Wu 吳誠文. Lab for Reliable Computing Dept. Electrical Engineering National Tsing Hua University Flash Memory Testing Cheng-Wen Wu 吳誠文 Lab for Reliable Computing Dept. Electrical Engineering National Tsing Hua University Outline Introduction to flash memories Flash memory fault models Disturbance

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering National Central University Jhongli, Taiwan Yield eda and Reliability- Enhancement Techniques for Random Access Memories Jin-Fu Li Advanced d Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli,

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs

Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Built-In Self-Test for Programmable I/O Buffers in FPGAs and SoCs Sudheer Vemula, Student Member, IEEE, and Charles Stroud, Fellow, IEEE Abstract The first Built-In Self-Test (BIST) approach for the programmable

More information

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC)

Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Improved BISR Strategy for Systems-on-a-Chip (SoC) Mr. D. Sri Harsha 1, Mr. D. Surendra Rao 2 1 Assistant Professor, Dept. of ECE, GNITC, Hyderabad

More information

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead

Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Near Optimal Repair Rate Built-in Redundancy Analysis with Very Small Hardware Overhead Woosung Lee, Keewon Cho, Jooyoung Kim, and Sungho Kang Department of Electrical & Electronic Engineering, Yonsei

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 26: November 9, 2018 Memory Overview Dynamic OR4! Precharge time?! Driving input " With R 0 /2 inverter! Driving inverter

More information

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs

Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Built-in Self-Test and Repair (BISTR) Techniques for Embedded RAMs Shyue-Kung Lu and Shih-Chang Huang Department of Electronic Engineering Fu Jen Catholic University Hsinchuang, Taipei, Taiwan 242, R.O.C.

More information

Test/Repair Area Overhead Reduction for Small Embedded SRAMs

Test/Repair Area Overhead Reduction for Small Embedded SRAMs Test/Repair Area Overhead Reduction for Small Embedded SRAMs Baosheng Wang and Qiang Xu ATI Technologies Inc., 1 Commerce Valley Drive East, Markham, ON, Canada L3T 7X6, bawang@ati.com Dept. of Computer

More information

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip

Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Built-In Self-Test for Regular Structure Embedded Cores in System-on-Chip Srinivas Murthy Garimella Master s Thesis Defense Thesis Advisor: Dr. Charles E. Stroud Committee Members: Dr. Victor P. Nelson

More information

THREE algorithms suitable for built-in redundancy analysis

THREE algorithms suitable for built-in redundancy analysis 386 IEEE TRANSACTIONS ON RELIABILITY, VOL. 52, NO. 4, DECEMBER 2003 Built-In Redundancy Analysis for Memory Yield Improvement Chih-Tsun Huang, Member, IEEE, Chi-Feng Wu, Member, IEEE, Jin-Fu Li, Member,

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

CMOS Testing: Part 1. Outline

CMOS Testing: Part 1. Outline CMOS Testing: Part 1 Introduction Fault models Stuck-line (single and multiple) Bridging Stuck-open Test pattern generation Combinational circuit test generation Sequential circuit test generation ECE

More information

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors)

COEN-4730 Computer Architecture Lecture 12. Testing and Design for Testability (focus: processors) 1 COEN-4730 Computer Architecture Lecture 12 Testing and Design for Testability (focus: processors) Cristinel Ababei Dept. of Electrical and Computer Engineering Marquette University 1 Outline Testing

More information

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test

Diagnostic Data Compression Techniques for Embedded Memories with Built-In Self-Test JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 515 527, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Diagnostic Data Compression Techniques for Embedded Memories

More information

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1

RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 RE-CONFIGURABLE BUILT IN SELF REPAIR AND REDUNDANCY MECHANISM FOR RAM S IN SOCS Ravichander Bogam 1, M.Srinivasa Reddy 2 1 Department of Electronics and Communication Engineering St. Martins Engineering

More information

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic

A Review paper on the Memory Built-In Self-Repair with Redundancy Logic International Journal of Engineering and Applied Sciences (IJEAS) A Review paper on the Memory Built-In Self-Repair with Redundancy Logic Er. Ashwin Tilak, Prof. Dr.Y.P.Singh Abstract The Present review

More information

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2

Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI 2 www.semargroup.org, www.ijsetr.com ISSN 2319-8885 Vol.03,Issue.13 June-2014, Pages:2858-2863 Design and Implementation of Online BIST for Different Word Sizes of Memories MUNEERA JAMAL 1, K. PADMAJA DEVI

More information

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani DESIGN AND TESTABILITY OF Z-TERNARY CONTENT ADDRESSABLE MEMORY LOGIC Keerthiga Devi S. 1, Bhavani, S. 2 Department of ECE, FOE-CB, Karpagam Academy of Higher Education (Deemed to be University), Coimbatore,

More information

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu.

Memories. Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu. Memories Design of Digital Circuits 2017 Srdjan Capkun Onur Mutlu http://www.syssec.ethz.ch/education/digitaltechnik_17 Adapted from Digital Design and Computer Architecture, David Money Harris & Sarah

More information

The Effectiveness of Scan Test and its New Variants

The Effectiveness of Scan Test and its New Variants The Effectiveness of Scan Test and its New Variants Ad J. van de Goor Said Hamdioui Zaid Al-Ars Delft University of Technology; Faculty of Electrical Engineering, Mathematics and Computer Science Laboratory

More information

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy.

Semiconductor Memory Classification. Today. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. CPU Memory Hierarchy. ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 4, 7 Memory Overview, Memory Core Cells Today! Memory " Classification " ROM Memories " RAM Memory " Architecture " Memory core " SRAM

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Magnetic core memory (1951) cm 2 ( bit)

Magnetic core memory (1951) cm 2 ( bit) Magnetic core memory (1951) 16 16 cm 2 (128 128 bit) Semiconductor Memory Classification Read-Write Memory Non-Volatile Read-Write Memory Read-Only Memory Random Access Non-Random Access EPROM E 2 PROM

More information

Built-In Self-Test for System-on-Chip: A Case Study

Built-In Self-Test for System-on-Chip: A Case Study Built-In Self-Test for System-on-Chip: A Case Study Charles Stroud, John Sunwoo, Srinivas Garimella, and Jonathan Harris Dept. of Electrical and Computer Engineering Auburn University, Alabama USA ABSTRACT

More information

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100%

March 20, 2002, San Jose Dominance of embedded Memories. Ulf Schlichtmann Slide 2. esram contents [Mbit] 100% Goal and Outline IC designers: awareness of memory challenges isqed 2002 Memory designers: no surprises, hopefully! March 20, 2002, San Jose Dominance of embedded Memories Tomorrows High-quality SoCs Require

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Design/manufacture Process Chung EPC655 2 Design/manufacture Process Chung EPC655 3 Layout

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap

A Built-In Redundancy-Analysis Scheme for RAMs with 2D Redundancy Using 1D Local Bitmap A Built-In Redundancy-Analysis Scheme for RAMs with D Redundancy Using D Local Bitmap Tsu-Wei Tseng, Jin-Fu Li, and Da-Ming Chang Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering

More information

BIST for Deep Submicron ASIC Memories with High Performance Application

BIST for Deep Submicron ASIC Memories with High Performance Application BIST for Deep Submicron ASIC Memories with High Performance Application Theo J. Powell, Wu-Tung Cheng *, Joseph Rayhawk *, Omer Samman *, Paul Policke, Sherry Lai Texas Instruments Inc. PO Box 660199,

More information

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC Implementation of FSM-MBIST and Design of Hybrid MBIST for cluster in Asynchronous SoC Lakshmi H R BNM Institute of technology Varchaswini R BNM Institute of technology Yasha Jyothi M Shirur BNM Institute

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

DRAM Specific Approximation of the Faulty Behavior of Cell Defects

DRAM Specific Approximation of the Faulty Behavior of Cell Defects DRAM Specific Approximation of the Faulty Behavior of Cell Defects Zaid Al-Ars Ad J. van de Goor Section CE, Faculty of Information Technology and Systems Delft University of Technology, Mekelweg 4, 2628

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

3D Memory Formed of Unrepairable Memory Dice and Spare Layer

3D Memory Formed of Unrepairable Memory Dice and Spare Layer 3D Memory Formed of Unrepairable Memory Dice and Spare Layer Donghyun Han, Hayoug Lee, Seungtaek Lee, Minho Moon and Sungho Kang, Senior Member, IEEE Dept. Electrical and Electronics Engineering Yonsei

More information

Memory and Programmable Logic

Memory and Programmable Logic Digital Circuit Design and Language Memory and Programmable Logic Chang, Ik Joon Kyunghee University Memory Classification based on functionality ROM : Read-Only Memory RWM : Read-Write Memory RWM NVRWM

More information

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults

At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults At-Speed On-Chip Diagnosis of Board-Level Interconnect Faults Artur Jutman Tallinn University of Technology artur@pld.ttu.ee Abstract This article describes a novel approach to fault diagnosis suitable

More information

EE3032 Introduction to VLSI Design

EE3032 Introduction to VLSI Design EE3032 Introduction to VLSI Design Jin-Fu Li Advanced Reliable Systems (ARES) Lab. Department of Electrical Engineering National Central University Jhongli, Taiwan Contents Syllabus Introduction to CMOS

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 201 Memories Lecture 14: 1 Announcements HW6 will be posted tonight Lab 4b next week: Debug your design before the in-lab exercise Lecture 14: 2 Review:

More information

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories

At-Speed Wordy-R-CRESTA Optimal Analyzer to Repair Word- Oriented Memories , pp.269-280 http://dx.doi.org/10.14257/ijhit.2013.6.6.24 At-peed Wordy-R-CRETA Optimal Analyzer to Repair Word- Oriented Memories Rahebeh Niaraki Asli, hahin Khodadadi and Payam Habiby University of Guilan,

More information

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan Chapter 9 Basics of SOC Testing Jin-Fu Li Advanced Reliable Systems (ARES) Lab Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Introduction SOC Test Challenge SOC

More information

Introduction to SRAM. Jasur Hanbaba

Introduction to SRAM. Jasur Hanbaba Introduction to SRAM Jasur Hanbaba Outline Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Non-volatile Memory Manufacturing Flow Memory Arrays Memory Arrays Random Access Memory Serial

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

CpE 442. Memory System

CpE 442. Memory System CpE 442 Memory System CPE 442 memory.1 Outline of Today s Lecture Recap and Introduction (5 minutes) Memory System: the BIG Picture? (15 minutes) Memory Technology: SRAM and Register File (25 minutes)

More information

A Software-Based Test Methodology for Direct-Mapped Data Cache

A Software-Based Test Methodology for Direct-Mapped Data Cache 17th Asian Test Symposium A Software-Based Test Methodology for Direct-Mapped Data Cache Yi-Cheng Lin, Yi-Ying Tsai, Kuen-Jong Lee, Cheng-Wei Yen, and Chung-Ho Chen Department of Electrical Engineering,

More information

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test

Contents 1 Basic of Test and Role of HDLs 2 Verilog HDL for Design and Test 1 Basic of Test and Role of HDLs... 1.1 Design and Test... 1.1.1 RTL Design Process... 1.1.2 Postmanufacturing Test... 1.2 Test Concerns... 1.2.1 Test Methods... 1.2.2 Testability Methods... 1.2.3 Testing

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Semiconductor Memory Classification

Semiconductor Memory Classification ESE37: Circuit-Level Modeling, Design, and Optimization for Digital Systems Lec 6: November, 7 Memory Overview Today! Memory " Classification " Architecture " Memory core " Periphery (time permitting)!

More information

Embedded Quality for Test. Yervant Zorian LogicVision, Inc.

Embedded Quality for Test. Yervant Zorian LogicVision, Inc. Embedded Quality for Test Yervant Zorian LogicVision, Inc. Electronics Industry Achieved Successful Penetration in Diverse Domains Electronics Industry (cont( cont) Met User Quality Requirements satisfying

More information

Block Sparse and Addressing for Memory BIST Application

Block Sparse and Addressing for Memory BIST Application Block Sparse and Addressing for Memory BIST Application Mohammed Altaf Ahmed 1, D Elizabath Rani 2 and Syed Abdul Sattar 3 1 Dept. of Electronics & Communication Engineering, GITAM Institute of Technology,

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

CS152 Computer Architecture and Engineering Lecture 16: Memory System

CS152 Computer Architecture and Engineering Lecture 16: Memory System CS152 Computer Architecture and Engineering Lecture 16: System March 15, 1995 Dave Patterson (patterson@cs) and Shing Kong (shing.kong@eng.sun.com) Slides available on http://http.cs.berkeley.edu/~patterson

More information

IC Testing and Development in Semiconductor Area

IC Testing and Development in Semiconductor Area IC Testing and Development in Semiconductor Area Prepare by Lee Zhang, 2004 Outline 1. Electronic Industry Development 2. Semiconductor Industry Development 4Electronic Industry Development Electronic

More information

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy

Power Reduction Techniques in the Memory System. Typical Memory Hierarchy Power Reduction Techniques in the Memory System Low Power Design for SoCs ASIC Tutorial Memories.1 Typical Memory Hierarchy On-Chip Components Control edram Datapath RegFile ITLB DTLB Instr Data Cache

More information

Chapter 9. Design for Testability

Chapter 9. Design for Testability Chapter 9 Design for Testability Testability CUT = Circuit Under Test A design property that allows: cost-effective development of tests to be applied to the CUT determining the status of the CUT (normal

More information

EE434 ASIC & Digital Systems Testing

EE434 ASIC & Digital Systems Testing EE434 ASIC & Digital Systems Testing Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Introduction VLSI realization process Verification and test Ideal and real tests Costs of testing Roles of testing A

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Chapter 0 Introduction

Chapter 0 Introduction Chapter 0 Introduction Jin-Fu Li Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Applications of ICs Consumer Electronics Automotive Electronics Green Power

More information