Low Power Emulation for Power Intensive Designs

Similar documents
Challenges with Power Aware Simulation and Verification Methodologies

Power Aware Models: Overcoming barriers in Power Aware Simulation

Real-life low power verification pitfalls, and UPF 1801 for a CPF user

Power Aware Libraries: Standardization and Requirements for Questa Power Aware

Next-generation Power Aware CDC Verification What have we learned?

Verifying a low power design

Leakage Mitigation Techniques in Smartphone SoCs

PA GLS: The Power Aware Gate-level Simulation

Evolution of UPF: Getting Better All the Time

An approach to accelerate UVM based verification environment

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

New Challenges in Verification of Mixed-Signal IP and SoC Design

Complex Low Power Verification Challenges in NextGen SoCs : Taming the Beast!

Accelerating RTL Simulation Techniques by Lior Grinzaig, Verification Engineer, Marvell Semiconductor Ltd.

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Module 4. Design of Embedded Processors. Version 2 EE IIT, Kharagpur 1

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Part I: Power Aware Static Verification From Power Intent to Microarchitectural Checks of Low-Power Designs

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Post processing techniques to accelerate assertion development Ajay Sharma

LSN 1 Digital Design Flow for PLDs

EE 5327 VLSI Design Laboratory Lab 8 (1 week) Formal Verification

Dynamic Verification of Low Power Design Intent. Suleiman Abu Kharmeh and François Cerisier Test and Verification Solutions

University of California, Davis Department of Electrical and Computer Engineering. Lab 1: Implementing Combinational Logic in the MAX10 FPGA

Failing to Fail: Achieving Success in Advanced Low Power Design using UPF

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

An introduction to CoCentric

Recommended Design Techniques for ECE241 Project Franjo Plavec Department of Electrical and Computer Engineering University of Toronto

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Low-Power Verification Methodology using UPF Query functions and Bind checkers

Logic Circuits II ECE 2411 Thursday 4:45pm-7:20pm. Lecture 3

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

UVM hardware assisted acceleration with FPGA co-emulation

Synthesis of Combinational and Sequential Circuits with Verilog

Verifying big.little using the Palladium XP. Deepak Venkatesan Murtaza Johar ARM India

ASIC world. Start Specification Design Verification Layout Validation Finish

23. Digital Baseband Design

Homework deadline extended to next friday

Validation Strategies with pre-silicon platforms

Verilog Nonblocking Assignments with Delays - Myths & Mysteries

Digital Design Using Verilog EE Final Examination

VHDL Sample Slides Rev Sample Slides from the 2-day and 4-day VHDL Training Courses

EE-382M VLSI II. Early Design Planning: Front End

CSCB58 - Lab 3. Prelab /3 Part I (in-lab) /2 Part II (in-lab) /2 TOTAL /8

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

CSE 591: Advanced Hardware Design and Verification (2012 Spring) LAB #0

ECE 2300 Digital Logic & Computer Organization. More Verilog Finite State Machines

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

ECE 4514 Digital Design II. Spring Lecture 13: Logic Synthesis

Low-Power Technology for Image-Processing LSIs

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

New Advancements in CPF 2.0 and the Path to Interoperability

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Lab 7 (All Sections) Prelab: Introduction to Verilog

Algorithmic C synthesis (High-level synthesis)

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

Harmony-AMS Analog/Mixed-Signal Simulator

ECE 4514 Digital Design II. Spring Lecture 2: Hierarchical Design

RTL Coding General Concepts

Jianfeng Liu, Jaehan Jeon, Mi-Suk Hong, KyungTae Do, HyoSig Won, JungYun Choi, Kee Sup Kim System LSI Division Samsung Electronics Co., Ltd.

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Complex Low Power Verification Challenges in NextGen SoCs: Taming the Beast!

SoC Verification Methodology. Prof. Chien-Nan Liu TEL: ext:

Is Power State Table Golden?

Mixed Signal Verification Transistor to SoC

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Verification of Clock Domain Crossing Jitter and Metastability Tolerance using Emulation

Creating a Complete Low Power Verification Strategy using the Common Power Format and UVM

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

EECS150 - Digital Design Lecture 6 - Logic Simulation. Encoder Example

Amrita Vishwa Vidyapeetham. EC429 VLSI System Design Answer Key

Intro to Digital Logic, Lab 5 Sequential Logic. Lab Objectives. Assigned Task Mapping sequential logic to the FPGA

Lecture 5: Computing Platforms. Asbjørn Djupdal ARM Norway, IDI NTNU 2013 TDT

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Simulation with Verilog-XL

Timing and Verification

QUARTUS II Altera Corporation

Choosing an Intellectual Property Core

Lab 7 (Sections 300, 301 and 302) Prelab: Introduction to Verilog

Laboratory Exercise 3

An overview of standard cell based digital VLSI design

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology


EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

THE DEVELOPMENT OF ADVANCED VERIFICATION ENVIRONMENTS USING SYSTEM VERILOG

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Synthesis of Language Constructs. 5/10/04 & 5/13/04 Hardware Description Languages and Synthesis

7.3 Case Study - FV of a traffic light controller

OSCI Update. Guido Arnout OSCI Chief Strategy Officer CoWare Chairman & Founder

SP3Q.3. What makes it a good idea to put CRC computation and error-correcting code computation into custom hardware?

Leveraging Formal Verification Throughout the Entire Design Cycle

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Transcription:

Low Power Emulation for Power Intensive Designs Harpreet Kaur Mohit Jain Piyush Kumar Gupta Jitendra Aggarwal Accellera Systems Initiative 1

Agenda Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 2

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 3

Introduction Smaller technology nodes, higher leakage current. Accellera Systems Initiative 4

Introduction Low Power Verification, Needs and Future SoC Need : More performance, more features, more complex, but Low power budgets Eg mobile phones Consequence: More and more power domains, complex power optimization features. Typical Application Processor for a Smartphone Power verification - equally important as Functional verification. Faster verification of the design with all Power features. Accellera Systems Initiative 5

Introduction Power Aware Verification SoC / Sub-system Design Functional Verification Simulation in practice Emulation SoC / Sub-system Design Power Verification Simulation Emulation not in practice Accellera Systems Initiative 6

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 7

Power Aware Design Power Verification - Simulation Different power management schemes Isolation Retention Level shifter Clock gating And many more These schemes defined through UPF (IEEE 1801) Accellera Systems Initiative 8

Power Aware Simulation Power Verification - Simulation RTL Hard Macro Power Aware Simulator UPF Hard Macros supply behavior is provided by the simulation model. Behavior Actual circuit power behavior. When supply is off Internals and outputs corrupted to X When supply is on Normal simulation Iso cell VDD1 OFF VDD3 ON X at internals and outputs Retention cell VDD2 ON VDD Normal simulation Accellera Systems Initiative 9

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 10

Need for Low Power Emulation Power Verification - Emulation Complete power verification very expensive on simulation Large no. of power domains, large runtime to simulate. Emulation runs on hardware many magnitudes faster than simulation. complete regression suite with complex power scenarios can be exercised in a faster way. Flow No RTL or UPF special changes compared to simulation. Hard Macro RTL Hard Macro Power Aware Emulator UPF Synthesizable model with power functionality required. Accellera Systems Initiative 11

Features VDD1 Power Verification - Emulation Today Emulators support various Power Simulation features: Multiple power domains Hierarchical power domain connections Special power cells viz. isolation, retention, switches X corruption of power domains Behavior When supply is off Internals and outputs corrupt to X on waveform When supply is on Normal simulation Iso cell OFF VDD3 ON X at internals and outputs Retention cell VDD2 ON VDD Normal simulation Accellera Systems Initiative 12

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 13

Test PD Architecture Low Power Emulation Example TEST AXI DRIVER PROXY AXI DRIVER BFM TOP DOMAIN DPREG AXI SPHD SPREG DOMAIN 1 erom SPHDLV ECC DOMAIN 2 APIP AAPD RFF DOMAIN 3 PLL Accellera Systems Initiative 14

Low Power Emulation Example Low Power Emulation flow on TestPD RTL UPF Simulation model for Hard Macros SIMULATION SETUP TESTBENCH RTL UPF Emulation model for Hard Macros HW BFM part SW proxy part EMULATION SETUP Hardware compile / elab Software compile / elab Running test cases Accellera Systems Initiative 15 Monitor results through log files and waveforms

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 16

Hard Macros Power port connections Problem: Tool didn t understand the port connection, defined in RTL, through UPF file. connect_supply_net vddtop -ports u_rds_testpd_ioring/io_power_vdd_gnd_0/vdd Solution: Guide the tool to preserve power port defined as reg/wire and connect it with UPF -upf_options "-extend_upf_connect_net_to_rtl_net_flow NOTE: Synthesis tool will simply optimize power port defined as reg in verilog emulation model. VDD1 VDD2 vdd vddtop PLL Issues faced/ Solutions module PLL_TOP (clk, div, en, out_clk); input clk, en, div; output outclk; reg vdd = 1; reg gnd= 0; always @ (clk)begin if (!vdd ).. Accellera Systems Initiative 17

X value support in Emulation Problem: X value doesn t exist in Emulation, then how to highlight an OFF power domain? Solution: X visibility provided in waveforms by the tool. Power Sequence Failure in Hard Macros Problem: How to implement the behavior for hard macros like memories? Solution: Power sequence failing can be highlighted by Assertion in Emulation Models to mimic the power behavior. Example: Issues faced/ Solutions Always @ (negedge vddmp) begin FOURTH_ASSERTION: assert (SLEEP === 1'b0) else $display ("FOURTH_ASSERTION failed"); end Assertion failure when vddmp goes low Accellera Systems Initiative 18

Hard Macros Interface corruption Problem: Tool didn t support Liberty features (used for hard macro interface corruption) Solution: Liberty file support by the tool. -pg_type, primary_power, -primary_ground -related_power_pin, -related_ground_pin For input pin corruption of hard macro -power_down_function For output pin corruption of hard macro Functionality Issues faced/ Solutions Corrupt ports when related_ground/power supply is in OFF state. Corrupt output ports when specified power_down function is true. Support run-time corruption control schemes ( 0, 1, invert) Accellera Systems Initiative 19

Memory Array Corruption Issues faced/ Solutions Problem: How to corrupt an array which lies into multi voltage domain? Solution: Whole Memory Array can be Corrupted with predefined corrupt values through Verilog readmemh construct under assertion. Wire vddma; if(sleep!== 1'b1 && (vddma!== 1'b1 ) ) begin end Simulation Model for (i=0; i<words; i++) Mem[i] = Wordx; Emulation Model reg vddma; property sleep_vddma; @(posedge CK)!SLEEP -> vddma ; endproperty ARRAY_ASSERTION: assert property (sleep_vddma) else begin $readmemh("error_file",mem); $display( Array corruption "); end Accellera Systems Initiative 20

Introduction Power Verification - Simulation Power Verification - Emulation Low Power Emulation Example Issues faced/ Solutions Results Accellera Systems Initiative 21

Assertion failure on memories Memory array supply OFF in SLEEP mode Results Assertion failure Accellera Systems Initiative 22

X corruption domain switch OFF 1 2 3 4 5 Results 1. Save the count value at one instance. 2. Isolate the domains before switching off the driving domain. 3. Observe the domain corruption (x) of switch off domain. 4. Restore the stored count value. 5. Remove the isolation between domains. Accellera Systems Initiative 23

Future Work Assertion Generation: Use Standard EDA tool to generate assertions for enhancing debugging on Low Power Emulation. To handle Static Checking of various anomalies while setting Power Domains and debugging. Add Assertion Support for all the Hard Macros e.g. Memories, EPOD, IOs Follow up with EDA vendor to get support of all missing features which are present on Simulator. Accellera Systems Initiative 24

Questions Accellera Systems Initiative 25