Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

Similar documents
SOI based platforms for IoT optimized Applications. Director

Common Platform Ecosystem Enablement

Process and Design Solutions for Exploiting FD SOI Technology Towards Energy Efficient SOCs

SOITEC REPORTS SECOND QUARTER FY 17 REVENUES OF 56.7 M, UP 4% COMPARED WITH THE SECOND QUARTER OF FY 16 AT CONSTANT EXCHANGE RATES

technology Leadership

Samsung System LSI Business

SOITEC REPORTS FY 17 THIRD QUARTER REVENUES

ULTRA-THIN DOUBLE LAYER METROLOGY WITH HIGH LATERAL RESOLUTION. Semicon West 2018, Bernd Srocka

Synopsys Design Platform

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

Technology and Manufacturing

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Fully Depleted SOI Technologies. Bich-Yen Nguyen

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

New Embedded NVM architectures

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Technology Platform Segmentation

Risk Factors. Rev. 4/19/11

Embedded 28-nm Charge-Trap NVM Technology

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

N E W S R E L E A S E

Advanced Heterogeneous Solutions for System Integration

EE586 VLSI Design. Partha Pande School of EECS Washington State University

WLSI Extends Si Processing and Supports Moore s Law. Douglas Yu TSMC R&D,

Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

Investor Presentation October 2018

Enabling Next Generation Semiconductor Product Innovations with 22FDX

Semiconductors : Accelerating Technologies for Intelligent Applications. Zhiyong Han, General Manager, China Sales

TechSearch International, Inc.

Jae Wook Lee. SIC R&D Lab. LG Electronics

SOI for RF Applications and Beyond

Seahawk Power-optimized implementation of High Performance Quad-core Cortex-A15 Processor

Multi-Core Microprocessor Chips: Motivation & Challenges

Flexible architecture to add Bluetooth 5 and to your next SoC

Digitization of non-volatility Jean-Pascal BOST, CEO

Accelerating China Semiconductor Industry with GlobalFoundries Smart Manufacture. Fisher Zhu, Director of China Marketing March 15, 2018

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Beyond Moore. Beyond Programmable Logic.

TSBCD025 High Voltage 0.25 mm BCDMOS

An Executive View of Trends and Technologies in Electronics

Moore s s Law, 40 years and Counting

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

A 50% Lower Power ARM Cortex CPU using DDC Technology with Body Bias. David Kidd August 26, 2013

Accelerating the route to secure scalable IoT

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

From 3D Toolbox to 3D Integration: Examples of Successful 3D Applicative Demonstrators N.Sillon. CEA. All rights reserved

emram: From Technology to Applications David Eggleston VP Embedded Memory

Opportunities & Challenges: 28nm & 2.5/3-D IC Design and Manufacturing

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Manufacturing and Technology R&D

Technology & Manufacturing

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

The FD-SOI technology for very high-speed and energy efficient SoCs. Giorgio Cesana STMicroelectronics

The Ascendance of Advanced Packaging: The Future is Now. Byong-Jin Kim I Sr. Director and RD Department Manager, Amkor Technology Malaysia.

FHE Integration & Manufacturing for Killer Apps

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

ECE 486/586. Computer Architecture. Lecture # 2

Xilinx SSI Technology Concept to Silicon Development Overview

SOLVING MANUFACTURING CHALLENGES AND BRINGING SPIN TORQUE MRAM TO THE MAINSTREAM

Technologies Leading at Specialty. S C Chien VP of Specialty Technology and Corporate Marketing 27th May, 2015

CircuitsMulti-Projets

More Course Information

Multi-threading technology and the challenges of meeting performance and power consumption demands for mobile applications

SOI at the heart of the silicon photonics design. Arnaud Rigny, Business Development Manager Semicon Europa, TechArena

FlexLogIC Manufacturing innovation by PragmatIC

Continuing Moore s law

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

2009 International Solid-State Circuits Conference Intel Paper Highlights

Stacked Silicon Interconnect Technology (SSIT)

What is this class all about?

March 4-7, 2018 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive

Full year 17 results. June 2017

Il pensiero parallelo: Una storia di innovazione aziendale

HPC Technology Trends

Investing for Innovation. Warren East CEO

EECS 598: Integrating Emerging Technologies with Computer Architecture. Lecture 10: Three-Dimensional (3D) Integration

Archive Keynote Address

White Paper. The Case for Developing Custom Analog. Custom analog SoCs - real option for more product managers.

ARCHIVE 2008 COPYRIGHT NOTICE

Circuits Multi Projets

Computer Architecture

Solving Integration Challenges for Flexible Hybrid Electronics

constant exchange rates and Electronics EBITDA margin at minimum 20%

Put on the Future: Wearable Technology

Ultra-thin Capacitors for Enabling Miniaturized IoT Applications

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Engineered substrates at the foundation of 5G

Technology & Manufacturing. Laurent Bosson Executive Vice President Front End Technology & Manufacturing

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

Chapter 1 Introduction

TINY System Ultra-Low Power Sensor Hub for Always-on Context Features

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Transcription:

Soitec ultra-thin SOI substrates enabling FD-SOI technology July, 2015

Agenda FD-SOI: Background & Value Proposition C1- Restricted July 8, 2015 2

Today Ultra-mobile & Connected Consumer At Any Time With Anyone Anywhere (any place, any service, any network) With Anything Intensified Challenges $$$$ Outstanding Performance Longer battery life Optimized cost C1- Restricted July 8, 2015 3

Cost per Million Gates ($) Bulk Silicon 28FD 14FD FD SOI Performance, Power & Cost Efficiency $$$$ 3 GHz demo on ARM-based +5h web browsing Thermal camera on smartphone Apps Proc. Gets Hot Apps Proc. Stays Cool (High Pwr Cons.) Good trend for FDSOI Moore s Law Wrong trend (Higher integration = higher cost) 0.026 0.064 Smartphone on 28nm FDSOI Bulk FD-SOI Dual A9 both running at 1.85GHz 90nm 65nm 40nm 28nm 20nm 16/14nm Bulk Silicon FD SOI Source: ST Source: ST 28nm FD-SOI provides «20nm performance at 28nm cost», Quote from FD-SOI foundry offering slides shown on Samsung booth, DAC2014 Source: IBS C1- Restricted July 8, 2015 4

Conventional silicon technology not suitable anymore Moore s Law : For similar chip cost: More functionalities (more transistors per chip) Less power per transistor Faster processing n Million transistors Application Processor Scaling: Moore s law Next Technology Gen.: 2n Million transistors in same chip size Next-Gen App. Processor More functions Planar bulk CMOS reaching its limits at 20nm, Can t go further: Technical challenges (leakage, variability and short channel effects) Cost-efficiency challenges C1- Restricted July 8, 2015 5

Two Scaling Paths for Alternate Device Architecture: Planar FD-SOI or Multi-Gate Transistor Undopped fully depleted channel S G D Planar FD-SOI Planar Bulk S G D Transistor Cross-section Planar transistor architecture Evolution Transistor Cross-section Not beyond 20nm Fully Depleted Technologies G D S Buried Oxide Base Silicon Perspective View FinFET 3-D transistor architecture Revolution Behavior controlled by doping New paradigm : Behavior controlled by silicon geometry C1- Restricted July 8, 2015 6

FD-SOI story: visionary innovations and partnerships 2005 Substrate Supply 2005 Research Institute 2008 Advanced R&D 2010 Industrial Partner 2014 Open foundries 2015 Products on the market S G D Smart Cut TM technology FD-SOI transistor Silicon die with millions of transistors FD-SOI substrates FD-SOI processed wafer with multiple raw dice per wafer C1- Restricted July 8, 2015 7

Planar FD roadmap: Scalable down to 10nm Source: L. Mallier (Leti) at Leti Innovation Days, June 25 2013 C1- Restricted July 8, 2015 8

FD-SOI is excellent for mobile and many other applications Application Benefits 9 Consumer Optimized SoC integration (Mixed-signal & RF) Energy efficient SoC in all thermal conditions Optimized leakage in idle mode Infrastructure Networking Energy efficient multicore Effective DVFS Excellent performance on memories Internet of Things Ultra-low voltage operation Highly Scalable operation Efficient RF and analog integration Automotive Well-managed leakage in high temperature environment High reliability thanks to highly-efficient memories Source: ST, SOI Forum, San Francisco Feb 2015 C1- Restricted July 8, 2015 9

Agenda 1. FD-SOI: Background & Value Proposition 2. The FD-SOI ecosystem & markets C1- Restricted July 8, 2015 10

A full FD-SOI ecosystem is now in place Research Technology & IP blocks Substrates & licensees Tools & EDA Foundries IP & Design Services Fabless & Products May 2014 - Samsung to provide 28nm FD-SOI as open foundry, Press release here May 2014 - Cadence ready to provide 28nm FD-SOI physical IP blocks, Press release here June 2014 - Synopsys to collaborate with Samsung, ST to accelerate 28nm FD-SOI adoption, Press release here June 2015 - GlobalFoundries FD-SOI technology webinar here C1- Restricted July 8, 2015 11

Performance(a.u.) Samsung at SOI Forum in San Francisco, Feb 2015 PowerPerformanceArea Benchmark (cell level) 28FDSOI Low Vdd superiority Ideal for battery operated ultra low power applications (IoT/wearable) 8 6 * Relative Comparisons 1 Performance @same leakage 1.27 1.41 1.64 1 Power @same speed 0.70 0.64 0.45 1 Chip Area 0.67 0.61 0.61 4 2 28FDSOI 28HKMG 28PSiON 45Bulk 28PSiON 28HKMG 28FD-SOI 45Bulk 28PSiON 28HKMG 28FD-SOI 45Bulk 28PSiON 28HKMG 28FD-SOI Source: Samsung, SOI Forum, San Francisco Feb2015 0 1.0Vop 0.90Vop 0.80Vop 0.63Vop C1- Restricted July 8, 2015 12

GlobalFoundries FD-SOI webinar June 2015 Source: GF FD-SOI Technology Webinar, June 2015, available here C1- Restricted July 8, 2015 13

Examples of wearable application: FD-SOI enables added functionality at ULP for IoT applications 20mW x20 1mW Standard GPS on the market Sony Next Gen on FD-SOI x20 Power Consumption Improvement On-Chip enabled functionality (RF, logic and SRAM) operating at 0.6V, instead of 1.1V Source: EETimes, Sony Joins FDSOI Club, 30 Jan 2015 C1- Restricted July 8, 2015 14

FD-SOI benefits for automotive applications Source: ST, SOI Forum, San Francisco, Feb2015 C1- Restricted July 8, 2015 15

SOI wafer supply ensured 300mm SOI starting wafer production sites, Wordlwide: Soitec ~70% today Smart Cut licensee Smart Cut licensee Bernin-2, France Pasir Ris, Singapore 800 Kwfrs/yr capacity, fully installed, for PD- and FD-SOI. Full conversion to FD in progress. 1 Mwfrs/yr capacity when fully installed Qualified for FD-SOI. Ready to ramp according to demand in well under a year. Close to 2 Mwfrs/yr capacity readily available when needed. C1- Restricted July 8, 2015 16

Smart Cut TM Alliance A model for growth C1- Restricted July 8, 2015 17

Agenda 1. FD-SOI: Background & Value Proposition 2. The FD-SOI ecosystem & Markets 3. Soitec s ultra-thin SOI wafers C1- Restricted July 8, 2015 18

Soitec Fully-Depleted Product Roadmap Target node 28 nm 28FD 20/14 nm 14FD 10 nm 10FD 7 nm and beyond R&D FD-2D for FDSOI technology UTBOX25 UTBOX20 UTBOX15s Box thickness 25nm 20nm 15nm Available upon request Top Si unif +/- 5A +/- 4A tbd Top Si thickness 12nm 10.5nm tbd Top Si stress unstrained unstrained 1.3Gpa tens. III-V.OI GeOI ssoi SOI FD-3D for FinFET technology Fin14 Fin10 C1- Restricted July 8, 2015 19

FD-2D Timelines 28FD Production FD-2D 14FD Risk Prod. Production for FDSOI technology 10FD Tech Dev Sampling Production 2013 2014 2015 2016 2017 During Tech. Dev Phase, early samples are available for selected customers C1- Restricted July 8, 2015 20

Simpler process with FD-SOI : Transistor channel pre-defined by substrate FD-2D wafers provide excellent control of transistor geometry To make the best of FD technology Soitec FD-2D wafer Ultra-Thin Top Silicon Layer FD-SOI transistor S G D Ultra-Thin Buried Oxide Base Silicon Critical dimension: Top Si thickness Enables: Critical dimension: channel thickness No complex channel doping required Critical body geometry pre-defined by top silicon Simplified CMOS process C1- Restricted July 8, 2015 21

Top silicon uniformity: the exceptional made industrial Silicon thickness uniformity is guaranteed to within just a few atomic layers: Top Si uniformity = +/-5 Å at all points on all wafers, equivalent to +/- 5 mm over 3,000 km (corresponds to ~ +/-0.2 inches over the distance between San Francisco and Chicago) Soitec FD-2D wafer +5Å Target -5Å San Francisco - Chicago ~ 2,988 km (1,857 mi) C1- Restricted July 8, 2015 22

Agenda 1. FD-SOI: Background & Value Proposition 2. The FD-SOI ecosystem & Markets 3. Soitec s ultra-thin SOI wafers 4. Cost Aspects C1- Restricted July 8, 2015 23

IBS s view on FD-SOI market potential C1- Restricted July 8, 2015 24

Industry Analyst IBS demonstrates FD-SOI competitive advantage Use IBS costs 28nm FD-SOI vs. alternatives Relative performance/power 20nm FD-SOI (14FD) vs. alternatives Relative performance/power better 28nm FD-SOI 20nm bulk better 20nm FD-SOI 16nm FinFET worse 28nm bulk Relative wafer cost worse 20nm bulk Relative wafer cost Lower cost Higher cost Lower cost Higher cost FD-SOI offers best power / performance / cost trade-off for high volume portable applications - H Jones, IBS Several studies (IC Knowledge, ST) reach similar conclusions : FD-SOI is extremely cost-competitive vs. any alternative C1- Restricted July 8, 2015 25

Agenda 1. FD-SOI: Background & Value Proposition 2. The FD-SOI ecosystem & Markets 3. Soitec s ultra-thin SOI wafers 4. Cost Aspects 5. Take-Aways C1- Restricted July 8, 2015 26

Take-Aways 28nm FD-SOI: outstanding power/performance for the cost of standard 28nm low-power CMOS 14FD / 20nm FD-SOI: a compelling, cost-effective alternative to FinFET Tight wafer specifications are fully met by Soitec in a production environment Open foundry offering for FD-SOI at Samsung and GF Full ecosystem is in place for high-volume markets from wafer supply through IP & design environment to foundry manufacturing C1- Restricted July 8, 2015 27

Bulk Silicon 28 FD 14 FD Summary - FD-SOI: confirmed adoption Strong competitive advantages A rapidly growing ecosystem and products announcements A considerable potential Best Performance/Power/Cost DAC 2014 28nm FD-SOI potential There is an opportunity to turn SOI from niche into mainstream. Kevin Low, Samsung senior director foundry marketing 28nm bulk FD-SOI > 25% 4.3M 28nm wafers /year Cost per Million Gates ($) FD-SOI enables following Moore s law Negative trend (Higher density = higher costs) 0.026 0.064 For cost-sensitive markets with more analog integration, FD-SOI is the right solution. Jamie Schaeffer, GF product line manager 2013 ~2017 The 28nm technology will represent approximately 4.3 million wafers in 2017 and FD-SOI could capture at least 25% of the market. H. Jones, IBS FD-SOI for COST & POWER SENSITIVE MARKETS: automotive, IoT, mobile and networking 90nm 65nm 40nm 28nm 20nm 16/14nm Bulk Silicon FD SOI Source: IBS Source: SOI Consortium New products under qualification using FD-SOI C1- Restricted July 8, 2015 28

T h a n k Y o u C1- Restricted July 8, 2015 29