Power: What s the problem?

Similar documents
Verification Futures Nick Heaton, Distinguished Engineer, Cadence Design Systems

Hardware Software Bring-Up Solutions for ARM v7/v8-based Designs. August 2015

Software Driven Verification at SoC Level. Perspec System Verifier Overview

Verification Futures The next three years. February 2015 Nick Heaton, Distinguished Engineer

Validation Strategies with pre-silicon platforms

Combining TLM & RTL Techniques:

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

Strato and Strato OS. Justin Zhang Senior Applications Engineering Manager. Your new weapon for verification challenge. Nov 2017

Embedded HW/SW Co-Development

Does FPGA-based prototyping really have to be this difficult?

Yafit Snir Arindam Guha Cadence Design Systems, Inc. Accelerating System level Verification of SOC Designs with MIPI Interfaces

Optimizing ARM SoC s with Carbon Performance Analysis Kits. ARM Technical Symposia, Fall 2014 Andy Ladd

100M Gate Designs in FPGAs

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Stacked Silicon Interconnect Technology (SSIT)

Optimizing Hardware/Software Development for Arm-Based Embedded Designs

Veloce2 the Enterprise Verification Platform. Simon Chen Emulation Business Development Director Mentor Graphics

Emerging Platforms, Emerging Technologies, and the Need for Crosscutting Tools Luca Carloni

Next Generation Verification Process for Automotive and Mobile Designs with MIPI CSI-2 SM Interface

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Comprehensive Place-and-Route Platform Olympus-SoC

MediaTek CorePilot. Heterogeneous Multi-Processing Technology. Delivering extreme compute performance with maximum power efficiency

Leakage Mitigation Techniques in Smartphone SoCs

Extending Fixed Subsystems at the TLM Level: Experiences from the FPGA World

SYSTEMS ON CHIP (SOC) FOR EMBEDDED APPLICATIONS

Xilinx SSI Technology Concept to Silicon Development Overview

Adaptive Voltage Scaling (AVS) Alex Vainberg October 13, 2010

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

DFT Trends in the More than Moore Era. Stephen Pateras Mentor Graphics

A Deterministic Flow Combining Virtual Platforms, Emulation, and Hardware Prototypes

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

The Use Of Virtual Platforms In MP-SoC Design. Eshel Haritan, VP Engineering CoWare Inc. MPSoC 2006

Beyond Moore. Beyond Programmable Logic.

WaferBoard Rapid Prototyping

Next-generation Power Aware CDC Verification What have we learned?

Test and Verification Solutions. ARM Based SOC Design and Verification

Performance Optimization for an ARM Cortex-A53 System Using Software Workloads and Cycle Accurate Models. Jason Andrews

System Level Design with IBM PowerPC Models

3D systems-on-chip. A clever partitioning of circuits to improve area, cost, power and performance. The 3D technology landscape

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Embedded Hardware and Software

Formal for Everyone Challenges in Achievable Multicore Design and Verification. FMCAD 25 Oct 2012 Daryl Stewart

IMPROVES. Initial Investment is Low Compared to SoC Performance and Cost Benefits

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Energy Efficient Computing Systems (EECS) Magnus Jahre Coordinator, EECS

Will Everything Start To Look Like An SoC?

NS115 System Emulation Based on Cadence Palladium XP

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

James Lin Vice President, Technology Infrastructure Group National Semiconductor Corporation CODES + ISSS 2003 October 3rd, 2003

System on Chip (SoC) Design

Europractice Cadence release. IC Package ASSURA 4.1 ASSURA 4.1 ASSURA 4.1

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

On-chip Networks Enable the Dark Silicon Advantage. Drew Wingard CTO & Co-founder Sonics, Inc.

Synopsys Design Platform

High Performance Mixed-Signal Solutions from Aeroflex

The Challenges of System Design. Raising Performance and Reducing Power Consumption

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Apache s Power Noise Simulation Technologies

HVSoCs: A Framework for Rapid Prototyping of 3-D Hybrid Virtual System-on-Chips

Eliminating Routing Congestion Issues with Logic Synthesis

Hardware-Software Codesign. 1. Introduction

Next Generation Enterprise Solutions from ARM

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Computing to the Energy and Performance Limits with Heterogeneous CPU-FPGA Devices. Dr Jose Luis Nunez-Yanez University of Bristol

The Veloce Emulator and its Use for Verification and System Integration of Complex Multi-node SOC Computing System

Simplify System Complexity

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Place Your Logo Here. K. Charles Janac

Hardware/Software Co-design

HES-7 ASIC Prototyping

Part 2: Principles for a System-Level Design Methodology

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Introduction to gem5. Nizamudheen Ahmed Texas Instruments

Dr. Yassine Hariri CMC Microsystems

Will Everything Start To Look Like An SoC?

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

BREAKING THE MEMORY WALL

Facilitating IP Development for the OpenCAPI Memory Interface Kevin McIlvain, Memory Development Engineer IBM. Join the Conversation #OpenPOWERSummit

3D SYSTEM INTEGRATION TECHNOLOGY CHOICES AND CHALLENGE ERIC BEYNE, ANTONIO LA MANNA

3D-IC is Now Real: Wide-IO is Driving 3D-IC TSV. Samta Bansal and Marc Greenberg, Cadence EDPS Monterey, CA April 5-6, 2012

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

The mobile computing evolution. The Griffin architecture. Memory enhancements. Power management. Thermal management

Simplify System Complexity

Low-Power Technology for Image-Processing LSIs

Thermal Modeling and Active Cooling

Baseband IC Design Kits for Rapid System Realization

Mapping Multi-Million Gate SoCs on FPGAs: Industrial Methodology and Experience

Modeling and Simulation of System-on. Platorms. Politecnico di Milano. Donatella Sciuto. Piazza Leonardo da Vinci 32, 20131, Milano

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Platform for System LSI Development

Bringing the benefits of Cortex-M processors to FPGA

VLSI Design Automation

Oberon M2M IoT Platform. JAN 2016

The Foundry-Packaging Partnership. Enabling Future Performance. Jon A. Casey. IBM Systems and Technology Group

Samsung System LSI Business

Design and Technology Trends

High Capacity and High Performance 20nm FPGAs. Steve Young, Dinesh Gaitonde August Copyright 2014 Xilinx

Transcription:

Power: What s the problem? Industry trends and solutions in low power design Steve Carlson, Low Power Solutions Systems Verification Group April 2015

Agenda Industry Trends Power: what s the problem The problem: it s not just power Power challenges: is not the performance, it s the thermal Use case and scale challenge Cadence technologies Summary 2 2012 Cadence Design Systems, Inc. Cadence confidential.

Industry trends Drivers of design change 3 2012 Cadence Design Systems, Inc. Cadence confidential.

Moore s Wall Macro trend bifurcates miniaturization roadmaps Mature nodes evolved Lower and lower Vdd Re-design memories, analog IP, etc. Add LP cells for PSO, MSV, etc. Thermal/performance issues at near threshold Vdd values MEMs sensors, energy harvesting, RF Advanced node Very high volume drivers jump the hurdle Multi-patterning New materials/devices Power and thermal issues hamper architectural performance gains 130nm 55nm 28nm Power retool Volume Hurdle 180nm Moore s Law 20nm 14nm 10nm 7nm 4 2012 Cadence Design Systems, Inc. Cadence confidential.

Packaging innovation Miniaturization innovation beyond Moore Foundries drive Si interposer and stacking technologies OSATs investing in fine geometry organic substrates PCB embedded die Product designers: litany of new choices; customization flourishes 5 2012 Cadence Design Systems, Inc. Cadence confidential.

Cooling: remote to local, materials Virtuous circle of reduction Remote: cool rooms Local: cool chips Data center Product PCB/MCM/3DIC 6 2012 Cadence Design Systems, Inc. Cadence confidential.

10 year trend summary Physics, architecture, manufacturing changed shape Frequency wall based on power limit Single thread performance stall Performance gains through multi-core Heterogeneous cores to optimize performance-energy Thermal wall based on parallel execution limits Dark silicon becomes pervasive Complex orchestration of execution units to maximize performance and stay within thermal limits Simultaneous need to task energy efficiency Battery life in mobile Power/cooling cost in data center 7 2012 Cadence Design Systems, Inc. Cadence confidential.

Power: what s the problem? Hot topic 8 2012 Cadence Design Systems, Inc. Cadence confidential.

Power verification: why the surprises It s simple: UPF/CPF provide specification Switches, isolation, retention, modes, It s complicated: Which voltage level? 3 or more Which frequency? 3 or more Which corner? 12 to 100 Which voltage domain? 100 or more Which use case? Billions of clock cycles 9 2012 Cadence Design Systems, Inc. Cadence confidential.

Complementary LP Verification Solutions LPV App, Incisive LP Simulation & Conformal Low Power Verification Formal Basic LP assertions Power-aware debug LP XPROP analysis Simulation/Emulation Static code analysis (lint) in PSO Disable corruption of certain datatypes Replay initial blocks at power-up Power aware sim Find design and power sequence errors including incorrect isolation values, initialization problems, incomplete state retention, etc Power-aware gate-level verification Liberty support Comprehensive LP assertion support LP functional checks Power-aware SEC Provides LP-awareness for other apps (XPROP, FPV, CONN, CSR ) LEC/LP Logical/physical netlist structural checks Level-shifter, power switch checks Cell mapping & library checks Power-aware LEC PG connection & layout checks Supports custom & MS macros Elaborate power-aware design from RTL + UPF/CPF Models isolators, state retention Basic power-aware lint Static analysis of power format Synthesis/ Implementation 10 2012 Cadence Design Systems, Inc. Cadence confidential.

The problem: it s not just power Interrelated design criteria 11 2012 Cadence Design Systems, Inc. Cadence confidential.

Example: mobile processor SoC Thermal issues diminish architectural performance potential Antutu* scores 24000 18000 Thermal wall 10000 11000 1 core 2 core 4 core 8 core *Antutu Android benchmark (www.antutu.com) 12 2012 Cadence Design Systems, Inc. Cadence confidential.

Performance-Thermal-Power Domain connections Must balance performance & power to control heat Power Performance is critical purchase criteria Performance Thermal Cranking up clock heats up device 13 2012 Cadence Design Systems, Inc. Cadence confidential.

Power Temperature Mutual dependence nonlinear behavior Power Temperature 14 2012 Cadence Design Systems, Inc. Cadence confidential.

Power challenges It s not the performance, it s the thermal 15 2012 Cadence Design Systems, Inc. Cadence confidential.

Thermal issues in modern SoCs Growing thermal issues Technology scaling => higher power density 3D stacking with TSV => greater thermal issues Temperature impacts Power consumption Peak performance Ageing/reliability Package costs MP SoC architectures Dynamic applications, variable execution time Power management solutions (DVFS), can even worsen thermal properties! Thermal mitigation schemes must be proposed at design time 16 2012 Cadence Design Systems, Inc. Cadence confidential.

Thermal mitigation landscape From system to sign-off Applications/OS/system Which applications are critical to product success? Desired user experience: speed, battery life, form factor, cost? Thermal-aware OS features Register re-mapping Thread migration Weighted task scheduling Architecture What computation elements needed to achieve performance/efficiency/cost? Processor choice(s), IP selection Hard-wired versus programmable Voltage/power domains Package/enclosure selection Design How to link modules, create microarchitecture, drive mapping to process? Micro-architecture optimization Power management Power optimization Clock gating Implementation Which node, what library, what flow, which tools,? Lower local layout density Spread hot units far apart Interconnect pitch control Power optimization 17 2012 Cadence Design Systems, Inc. Cadence confidential.

Use case & scale challenge 18 2012 Cadence Design Systems, Inc. Cadence confidential.

Use case challenge: huge dependency Performance benchmarks vary 8-10X Cao et al, CACM 2012 19 2012 Cadence Design Systems, Inc. Cadence confidential.

Early power visibility Abstraction hinders and helps Design detail Use case breadth Abstraction of design hinders accuracy Abstraction of design helps processing more use cases Heavy set of use cases early Lighter design detail Need for massive use case analysis Power behavior debug Determination of final use cases for detailed power and thermal analysis Need complete design detail Power/IR drop analysis Signoff across growing MMMC space Highly select use case Complete design detail for signoff 20 2012 Cadence Design Systems, Inc. Cadence confidential.

Cadence Technologies 21 2012 Cadence Design Systems, Inc. Cadence confidential.

High-level view of flow Use case funnel drives flow 0. Create power/ thermal analysis strategy 1. Generate/ select tests 2. Run DPA to identify windows of interest 3. Diagnose power consumption; refine time windows 4. Detailed power & thermal across corners Hybrid Verification testbenches Emulation As is tests Variable tests Performance benchmarks Mitigation actions Power tests Software test gen Perf. analysis DPA 10 8 Refined power tests Simulation 10 6 RTL power Incisive simulation 10 4 Refined power tests 10 2 Detailed power/ thermal Sign-off power 10 0 Sign-off thermal Thermal map Power map 10 10 Refined power tests 22 2012 Cadence Design Systems, Inc. Cadence confidential. Refined thermal profile 5. Feed temperature back to performance analysis

SoC power analysis requires deep cycles At 100MHz for 10 seconds => 1 billion cycles Power Component level Explore the What if s to avoid What now System level Local maximum case: Simulation captures narrow window OFF On On OFF On On On OFF OFF OFF OFF On OFF On OFF OFF OFF On On On On Deep cycles: Dynamic power profiling calculates average power over long run with real stimulus and softwareinteractions On OFF On On OFF Sample frequency time Simulation run Additional cycles are needed for system-level power analysis Identify and analyze peak and average power at system level 23 2012 Cadence Design Systems, Inc. Cadence confidential.

Power analysis: Emulation DPA (dynamic power analysis) RTL & gate power w/ deep cycles capability DPA DPA for hardware/software co-verification Software developers use familiar debuggers Understand the software impact on power consumption 24 2012 Cadence Design Systems, Inc. Cadence confidential.

Characterize, virtualize Case for hybrid model many use cases are not CPU-dominated Audio playback power breakdown Web browsing average power over WiFi and GPRS GSM phone call average power 25 2012 Cadence Design Systems, Inc. Cadence confidential.

The emulation/vsp hybrid solution Bring-up SW early, finish by Tapeout Bring-up and test Linux and drivers days after first RTL drop Bring-up and test Android weeks before tape-out Complete Linux / Android-based SoC testing by tape out Accelerate SW-driven SoC verification 100X faster boot 4X Faster OGL tests Full HW/SW debug Customer Design in emulation Customer TLM Models VSP Virtual Intrfaces UART, emmc, USB Virtualized CPU Sub-system CPU AVIP Bridges Smart Memory (coherent TLM /RTL) AMBA, interrupts, resets DDR Memory Controller GPU RTL Fabric IP IP IP VSP Execution Engines Emulation 26 2012 Cadence Design Systems, Inc. Cadence confidential.

Data dependency: 10X difference per operation Statistical distribution assumptions become important 27 2012 Cadence Design Systems, Inc. Cadence confidential. Eder et al, Univ Bristol, 2015

Vertical Reuse The Solution: Automated use case generation Software test generation Use Case Reuse Scope (Integration) Middleware (Graphics, Audio, etc..) Architect HW Developer SW Developer User Verification Engineer SW Test Engineer Post-silicon Validation Engineer OS & Drivers Bare Metal SW System on Chip (HW + SW) Abstract Model with Reusable Use Cases Powerful Solvers SW Sub-System IP Use case generation c test c test c test c test Multi-core Verification OS Firmware / HAL Scheduling, Inter-processor communication, runtime randomization Many cores Multi-cluster Apps Processors 3D GFX DSP A/V Boot Proc Comm Procs Virtual Platform Simulation Emulation FPGA Prototype Silicon Board Platform Horizontal Reuse 28 2012 Cadence Design Systems, Inc. Cadence confidential.

Power Analysis RTL and gate power based on.lib models Design: RTL/netlist Activity: Mutli-stream/ frame/ format Engine: Read design Quick synthesis Model process Activity prop Report gen Data export RTL Power Models:.lib, black box SDC compliant synthesis engine UPF/CPF support Simultaneous multi stimuli and frame based architecture for time-based power Average, time based, and incremental power Signoff calibrated power computation engine Calibrated clock tree and data buffer estimation Integration with emluation Powerful data mining including activity, power, timing, and area data 29 2012 Cadence Design Systems, Inc. Cadence confidential.

Power Analysis: Joules & Voltus progression RTL and gate power based on.lib models TLM, RTL, gates Simulation Emulation Activity: Mutli-stream/ frame/ format narrowed to ~100K clock cycles VIP, FV, etc Activity: SW-driven testbench up to 10B clock cycles Design: RTL/netlist Models:.lib, black box RTL power Activity: narrowed to 1 to 20 clock cycles P&R netlist Extracted R/C Models:.lib Sign-off power 30 2012 Cadence Design Systems, Inc. Cadence confidential.

Chip-package-board thermal co-simulation Sign-off power Temperature Map Package Model Sign-off thermal Model Connection Protocol (MCP) Connectivity map from die to package to board Power Map Power Map Die Model Temperature Map Thermal computes Temperature map including chip, package and board Power computes temperature dependent power map of die Co-simulation until results converge 31 2012 Cadence Design Systems, Inc. Cadence confidential.

Summary Power: is it a problem? Verification technologies and methodologies are deployed Analysis needs to migrate forward and encompass more use cases Power: it s not just about power Performance and thermal are intimately interrelated to power Need to progress towards holistic P-T-P solution Technologies Verification technologies applicable for performance/thermal/power Full suite across fabrics for design and analysis Power: No problem! 32 2012 Cadence Design Systems, Inc. Cadence confidential.

Power? No problem! 33 2012 Cadence Design Systems, Inc. Cadence confidential.