CDA 4253 FPGA System Design Introduction to VHDL. Hao Zheng Dept of Comp Sci & Eng USF

Similar documents
Lecture 4. VHDL Fundamentals. George Mason University

Lecture 4. VHDL Fundamentals. Required reading. Example: NAND Gate. Design Entity. Example VHDL Code. Design Entity

Lecture 4. VHDL Basics. Simple Testbenches

Lecture 3. HDL Basics. George Mason University

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4:

Introduction to VHDL #1

Lecture 3 Introduction to VHDL

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

Design units can NOT be split across different files

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

Introduction to VHDL

Introduction to VHDL #3

EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL

Basic Language Constructs of VHDL

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

Combinational Logic COMB. LOGIC BLOCK. A Combinational Logic Block is one where the outputs depend only on the current inputs

Logic and Computer Design Fundamentals VHDL. Part 1 Chapter 4 Basics and Constructs

Design Entry: Schematic Capture and VHDL ENG241: Digital Design Week #4

C-Based Hardware Design

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

VHDL for FPGA Design. by : Mohamed Samy

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

Menu. Introduction to VHDL EEL3701 EEL3701. Intro to VHDL

ENGIN 241 Digital Systems with Lab

EEL 4783: Hardware/Software Co-design with FPGAs

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

Very High Speed Integrated Circuit Har dware Description Language

Digital Systems Design

Hardware Description Language VHDL (1) Introduction

Lecture 1: VHDL Quick Start. Digital Systems Design. Fall 10, Dec 17 Lecture 1 1

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Introduction to VHDL. Main language concepts

VHDL for Complex Designs

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) -

Digital Systems Design

Review of Digital Design with VHDL

VHDL is a hardware description language. The code describes the behavior or structure of an electronic circuit.

Contents. Appendix D VHDL Summary Page 1 of 23

Introduction to VHDL. Prof. Vanderlei Bonato - 10/10/16 1

VHDL: A Crash Course

Verilog Module 1 Introduction and Combinational Logic

Hardware description languages

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Verilog Design Principles

Verilog HDL is one of the two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers. The other one is VHDL.

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

ECE 545 Lecture 4. Simple Testbenches. George Mason University

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Elementos de Lógica Digital II

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

Essential VHDL for ASICs

Mridula Allani Fall Fall

Lattice VHDL Training

Verilog. Verilog for Synthesis

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

VHDL: skaitmeninių įtaisų projektavimo kalba. 2 paskaita Pradmenys

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

BASIC VHDL LANGUAGE ELEMENTS AND SEMANTICS. Lecture 7 & 8 Dr. Tayab Din Memon

101-1 Under-Graduate Project Digital IC Design Flow

Multi-valued Logic. Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized

Computer-Aided Digital System Design VHDL

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

VHDL BASIC ELEMENTS INTRODUCTION

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Hardware description language (HDL)

A Brief Introduction to Verilog Hardware Definition Language (HDL)

Chapter 6 Combinational-Circuit Building Blocks

Advanced Digital Design Using FPGA. Dr. Shahrokh Abadi

CS232 VHDL Lecture. Types

Entity, Architecture, Ports

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

14:332:331. Computer Architecture and Assembly Language Fall Week 5

PART I BASIC DIGITAL CIRCUITS

Embedded Systems CS - ES

Hardware Description Languages: Verilog. Quick History of HDLs. Verilog/VHDL. Design Methodology. Verilog Introduction. Verilog.

Hardware Description Languages: Verilog

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

Verilog Design Principles

Inthis lecture we will cover the following material:

Contents. Appendix D Verilog Summary Page 1 of 16

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

VHDL Part 2. What is on the agenda? Basic VHDL Constructs. Examples. Data types Objects Packages and libraries Attributes Predefined operators

The Optimization of a Design Using VHDL Concepts

! ISP (circa 1977) - research project at CMU " Simulation, but no synthesis

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

Getting Started with VHDL

Introduction to VHDL. Module #5 Digilent Inc. Course

ECE 3401 Lecture 10. More on VHDL

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Revision: August 30, Overview

IT T35 Digital system desigm y - ii /s - iii

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

Transcription:

CDA 4253 FPGA System Design Introduction to VHDL Hao Zheng Dept of Comp Sci & Eng USF

Reading P. Chu, FPGA Prototyping by VHDL Examples Chapter 1, Gate-level combinational circuits Two purposes of using VHDL: - Simula7on - Synthesis focus of this course 2

Recommended reading Wikipedia The Free On-line Encyclopedia VHDL - http://en.wikipedia.org/wiki/vhdl Verilog - http://en.wikipedia.org/wiki/verilog 3

Brief History of VHDL 4

VHDL VHDL is a language for describing digital logic systems used by industry worldwide VHDL is an acronym for VHSIC (Very High Speed Integrated Circuit) Hardware Description Language Now, there are extensions to describe analog designs. 5

Genesis of VHDL State of art circa 1980 - Multiple design entry methods and hardware description languages in use - No or limited portability of designs between CAD tools from different vendors - Objective: shortening the time from a design concept to implementation from 18 months to 6 months 6

A Brief History of VHDL July 1983: a DoD contract for the development of VHDL awarded to - Intermetrics - IBM - Texas Instruments August 1985: VHDL Version 7.2 released December 1987: VHDL became IEEE Standard 1076-1987 and in 1988 an ANSI standard 7

Subsequent versions of VHDL - IEEE-1076 1987 - IEEE-1076 1993 most commonly supported by CAD tools - IEEE-1076 2000 (minor changes) - IEEE-1076 2002 (minor changes) - IEEE-1076 2008 8

Verilog 9

Verilog - Essentially identical in function to VHDL - Simpler and syntactically different Ø C-like - Gateway Design Automation Co., 1985 - Gateway acquired by Cadence in 1990 - IEEE Standard 1364-1995 (Verilog-95) - Early de facto standard for ASIC design - Two subsequent versions Ø Verilog 2001 (major extensions) dominant version used in industry Ø Verilog 2005 (minor changes) - Programming language interface to allow connection to non-verilog code 10

VHDL vs. Verilog Government Developed Ada based Strongly Type Cast Case-insensitive Difficult to learn More Powerful Commercially Developed C based Mildly Type Cast Case-sensitive Easier to Learn Less Powerful 11

Features of VHDL/Verilog Technology/vendor independent Portable Reusable 12

Good VHDL/Verilog Books 13

VHDL Fundamentals 14

Naming and Labeling (1) VHDL is case insensitive. Example: Names or labels databus Databus DataBus DATABUS are all equivalent 15

Naming and Labeling (2) General rules of thumb (according to VHDL-87) 1. All names should start with an alphabet character (a-z or A-Z) 2. Use only alphabet characters (a-z or A-Z) digits (0-9) and underscore (_) 3. Do not use any punctuation or reserved characters within a name (!,?,., &, +, -, etc.) 4. Do not use two or more consecutive underscore characters ( ) within a name (e.g., Sel A is invalid) 5. No forward slashes / in names. 6. All names and labels in a given entity and architecture must be unique. 16

Extended Identifiers Allowed only in VHDL-93 and higher: 1. Enclosed in backslashes 2. May contain spaces and consecutive underscores 3. May contain punctuation and reserved characters within a name (!,?,., &, +, -, etc.) 4. VHDL keywords allowed 5. Case sensitive Examples: \rdy\ \My design\ \!a\ \RDY\ \my design\ \-a\ Should not be used to avoid confusioin! 17

Literals Numeric: 32, -16, 3.1415927 Bits : 1, 0 Strings: Hello Bit strings: B 1111_1111, O 353, X AA55 Concatenation: 1111 & 0000 => 1111_0000 18

Objects Signal model real physical signals for communications Variable a programming construct to temporary storage Constant its value never changes after initialization 19

Comments Comments in VHDL are indicated with a double dash, i.e., -- Comment indicator can be placed anywhere in the line Any text that follows in the same line is treated as a comment Carriage return terminates a comment No method for commenting a block extending over a couple of lines Examples: -- main subcircuit Data_in <= Data_bus; -- reading data from the input FIFO 20

Comments Explain function of module to other designers Explanatory, not Just restatement of code Placed close to the code described - Put near executable code, not just in a header 21

Free Format VHDL is a free format language No formatting conventions, such as spacing or indentation imposed by VHDL compilers. Space, tabs, and carriage return treated the same way. Example: if (a=b) then or or if (a=b) then if (a = b) then are all equivalent 22

Readability Standards & Coding Style Adopt readability standards based on the textbook by Chu Use coding style recommended in OpenCores Coding Guidelines Available at the course web page Penalty may be enforced for not following these recommendations!!! 23

Design Entity 24

Example: NAND Gate a b z a b z 0 0 1 0 1 1 1 0 1 1 1 0 25

Example VHDL Code 3 sections of VHDL code to describe a design. File extension for a VHDL file is.vhd Name of the file should be the same as the entity name (nand_gate.vhd) [OpenCores Coding Guidelines] LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY DECLARATION ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ENTITY DECLARATION ARCHITECTURE model OF nand_gate IS BEGIN z <= a NAND b; END model; ARCHITECTURE BODY 26

Design Entity design entity entity declaration Design Entity - most basic building block of a design. architecture 1 architecture 2 One entity can have many different architectures. architecture 3 27

Entity Declaration Entity Declaration describes an interface of the component, i.e. input and output ports. Entity name Port names Port type Semicolon ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC ); END ENTITY nand_gate; Port modes (data flow directions) No Semicolon after last port 28

Entity Declaration Simplified Syntax ENTITY entity_name IS PORT ( ); port_name : port_mode signal_type; port_name : port_mode signal_type;. port_name : port_mode signal_type END ENTITY entity_name; 29

Port Mode IN Port signal Entity a Driver resides outside the entity 30

Port Mode OUT Entity Driver resides inside the entity Port signal z c Output cannot be read within the entity c <= z 31

Port Mode OUT (with extra signal) Entity Driver resides inside the entity Port signal x z c Signal x can be read inside the entity z <= x c <= x 32

Port Mode INOUT Port signal Entity a Signal can be read inside the entity Driver may reside both inside and outside of the entity 33

Port Modes - Summary The Port Mode of the interface describes the direction in which data travels with respect to the component - In: Data comes into this port and can only be read within the entity. It can appear only on the right side of a signal or variable assignment. - Out: The value of an output port can only be updated within the entity. It cannot be read. It can only appear on the left side of a signal assignment. - Inout: The value of a bi-directional port can be read and updated within the entity model. It can appear on both sides of a signal assignment. 34

Architecture (Architecture body) Describes an implementation of a design entity Architecture example: ARCHITECTURE dataflow OF nand_gate IS BEGIN z <= a NAND b; END ARCHITECTURE dataflow; Logic operators: NOT, AND, OR, NAND, NOR, XOR, XNOR 35

Architecture Simplified Syntax ARCHITECTURE architecture_name OF entity_name IS Declarations BEGIN Concurrent statements END ARCHITECTURE architecture_name; 36

Entity Declaration & Architecture LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END ENTITY nand_gate; ARCHITECTURE dataflow OF nand_gate IS BEGIN z <= a NAND b; END ARCHITECTURE dataflow; 37

Tips & Hints Place each entity in a different file. The name of each file should be exactly the same as the name of an entity it contains. These rules are not enforced by all tools but are worth following in order to increase readability and portability of your designs 38

Tips & Hints Place the declaration of each port, signal, constant, and variable in a separate line for better readability These rules are not enforced by all tools but are worth following in order to increase readability and portability of your designs 39

Libraries 40

Library Declarations LIBRARY ieee; USE ieee.std_logic_1164.all; Library declaration ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END ENTITY nand_gate; Use all definitions from the package std_logic_1164 ARCHITECTURE dataflow OF nand_gate IS BEGIN z <= a NAND b; END ARCHITECTURE dataflow; 41

Library Declarations - Syntax LIBRARY library_name; USE library_name.package_name.package_parts; 42

Structure of a Library LIBRARY PACKAGE 1 PACKAGE 2 TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS TYPES CONSTANTS FUNCTIONS PROCEDURES COMPONENTS 43

Libraries ieee Specifies digital logic system, including STD_LOGIC, and STD_LOGIC_VECTOR types Need to be explicitly declared std work Specifies built-in data types (BIT, BOOLEAN, INTEGER, REAL, SIGNED, UNSIGNED, etc.), arithmetic operations, basic type conversion functions, basic text i/o functions, etc. Holds current designs after compilation Visible by default 44

Operators in Standard VHDL 45

Standard VHDL: Data Types integer - Minimal range: -(2^31 1) to 2^31 1 boolean: {true, false} bit: { 1, 0 } bit_vector: string of bits. 46

STD_LOGIC Demystified 47

STD_LOGIC LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY nand_gate IS PORT( a : IN STD_LOGIC; b : IN STD_LOGIC; z : OUT STD_LOGIC); END nand_gate; ARCHITECTURE dataflow OF nand_gate IS BEGIN z <= a NAND b; END dataflow; 48

BIT versus STD_LOGIC VHDL standard BIT type - Can only model a value of 0 or 1 STD_LOGIC can model nine values - U, X, 0, 1, Z, W, L, H, - - Useful mainly for simulation - 0, 1, X and Z are synthesizable (your codes should use only these four values) 49

STD_LOGIC type demystified Value Meaning U Uninitialized X Forcing (Strong driven) Unknown 0 Forcing (Strong driven) 0 1 Forcing (Strong driven) 1 Z High Impedance W L H Weak (Weakly driven) Unknown Weak (Weakly driven) 0. Models a pull down. Weak (Weakly driven) 1. Models a pull up. - Don't Care 50

More on STD_LOGIC Meanings (1) 1 X Contention on the bus 0 51

More on STD_LOGIC Meanings (2) 52

Resolving Logic Levels U X 0 1 Z W L H - U U U U U U U U U U X U X X X X X X X X 0 U X 0 X 0 0 0 0 X 1 U X X 1 1 1 1 1 X Z U X 0 1 Z W L H X W U X 0 1 W W W W X L U X 0 1 L W L W X H U X 0 1 H W W H X - U X X X X X X X X 53

STD_LOGIC Rules In this course, use only std_logic or std_logic_vector for all entity input or output ports Do NOT use integer, unsigned, signed, bit for ports - You can use them inside of architectures if desired - You can use them in generics Instead use std_logic_vector and a conversion function inside of your architecture [Consistent with OpenCores Coding Guidelines] 54

Modeling Wires and Buses 55

Signals SIGNAL a : STD_LOGIC; 1 a wire SIGNAL b : STD_LOGIC_VECTOR(7 DOWNTO 0); 8 b bus 56

Standard Logic Vectors SIGNAL a: STD_LOGIC; SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL c: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL d: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL e: STD_LOGIC_VECTOR(8 DOWNTO 0);. a <= 1 ; --assign a with logic ONE b <= 0000 ; --Binary base assumed by default c <= B 0000 ; --Binary base explicitly specified d <= X AF67 ; -- Hexadecimal base e <= O 723 ; -- Octal base 57

Vectors and Concatenation SIGNAL a: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL b: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL c, d, e: STD_LOGIC_VECTOR(7 DOWNTO 0); a <= 0000 ; b <= 1111 ; c <= a & b; -- c = 00001111 d <= 0 & 0001111 ; -- d <= 00001111 e <= 0 & 0 & 0 & 0 & 1 & 1 & 1 & 1 ; -- e <= 00001111 58

Merging Wires and Buses addr data 5 4 10 bus ctrl 2 SIGNAL addr : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL data : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL ctrl : STD_LOGIC_vector(1 downto 0); SIGNAL bus : STD_LOGIC_VECTOR(10 DOWNTO 0); bus <= addr & data & ctrl; 59

Splitting buses d 10 4 5 a b c SIGNAL a: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL b: STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL c: STD_LOGIC; SIGNAL d: STD_LOGIC_VECTOR(9 DOWNTO 0); a <= d(9 downto 6); b <= d(5 downto 1); c <= d(0); 60

Types of VHDL Description (Modeling Styles) 61

Types of VHDL Descriptions VHDL Descriptions dataflow structural Testbenches behavioral Concurrent statements Components and interconnects Sequential statements Registers State machines Decoders Subset most suitable for synthesis 62

Types of VHDL Descriptions: Alternative View VHDL Descriptions Behavioral Structural dataflow algorithmic Components & interconnects Concurrent statements Sequential statements 63

xor3 Example 64

Entity xor3_gate LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY xor3 IS PORT( A : IN STD_LOGIC; B : IN STD_LOGIC; C : IN STD_LOGIC; Result : OUT STD_LOGIC); end ENTITY xor3_gate; 65

Dataflow Architecture - xor3_gate ARCHITECTURE dataflow OF xor3 IS SIGNAL U1_OUT: STD_LOGIC; BEGIN U1_OUT <= A XOR B; Result <= U1_OUT XOR C; END ARCHITECTURE dataflow; U1_OUT 66

Dataflow Description Describes how data moves through the various processing steps of the system. - Uses series of concurrent statements to realize logic. - Most useful style when series of Boolean equations can represent a logic à used to implement simple combinational logic - Dataflow code also called concurrent code Concurrent statements are evaluated at the same time; thus, the order of these statements does NOT matter - This is not true for sequential/behavioral statements Describe the same behavior U1_out <= A XOR B; Result <= U1_out XOR C; Result <= U1_out XOR C; U1_out <= A XOR B; 67

Event-Driven Semantics When a concurrent statement is evaluated? when there is an event on a signal on the right hand side of an assignment. An event is a change of value on a signal. Consider the example in the previous slide. U1_out <= A XOR B; Result <= U1_out XOR C; Result <= U1_out XOR C; U1_out <= A XOR B; 68

Structural Architecture xor3_gate A B C xor3 Result I1 I2 Y U1_OUT I1 I2 Y LIBRARY ieee; USE ieee.std_logic_1164.all; xor2.vhd ENTITY xor2 IS PORT( I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC); END ENTITY xor2; ARCHITECTURE dataflow OF xor2 IS BEGIN Y <= I1 xor I2; END ARCHITECTURE dataflow; 69

Structural Architecture in VHDL 93 A B C xor3 Result I1 I2 Y U1_OUT I1 I2 Y ARCHITECTURE structural OF xor3_gate IS SIGNAL U1_OUT: STD_LOGIC; BEGIN U1: entity work.xor2(dataflow) PORT MAP (I1 => A, I2 => B, Y => U1_OUT); U2: entity work.xor2(dataflow) PORT MAP (I1 => U1_OUT, I2 => C, Y => Result); END ARCHITECTURE structural; 70

Structural Architecture in VHDL 93 General Syntax inst_label: entity lib_name.entity_name(arch_name) PORT MAP ( port1 => actual_signal1, port2 => actual_signal2,... ); Actual signals can be ports of the entity where the component is instantiated signals declared in the architecture body 71

Structural Architecture in VHDL 87 ARCHITECTURE structural OF xor3_gate IS SIGNAL U1_OUT: STD_LOGIC; A COMPONENT xor2 B PORT( C I1 : IN STD_LOGIC; I2 : IN STD_LOGIC; Y : OUT STD_LOGIC); END COMPONENT; I1 I2 Y U1_OUT xor3 BEGIN U1: xor2 PORT MAP (I1 => A, I2 => B, Y=> U1_OUT); I1 I2 Y Result U2: xor2 PORT MAP (I1 => U1_OUT, I2 => C, Y => Result); END structural; 72

Structural Description Allows divide-n-conquer for large designs. This style is the closest to schematic capture and utilizes simple building blocks to compose logic functions. Components are interconnected in a hierarchical manner. Structural descriptions may connect simple gates or complex, abstract components. Structural style is useful when expressing a design that is naturally composed of sub-blocks. 73

Behavioral Architecture xor3_gate ARCHITECTURE behavioral OF xor3_gate IS BEGIN xor3_behave: PROCESS (A, B, C) BEGIN IF ((A XOR B XOR C) = '1') THEN Result <= '1'; ELSE Result <= '0'; END IF; END PROCESS xor3_behave; END ARCHITECTURE behavioral; 74

Behavioral Description It describes what happens on the inputs and outputs of the black box (no matter how a design is actually implemented). - Focus on functions mapping inputs to outputs - Similar to dataflow style, - More like sequential SW programming. This style uses process statements in VHDL. - A process itself is a concurrent statement. - A process consist of sequential statements. More will be covered later. 75

Design Testing and Testbenches After a design is done, it needs to be tested. During testing, design inputs are driven by various test vectors, and Outputs are monitored and checked. Test vector generator UUT/ DUT Monitor 76

Testbench in VHDL Test vector generator UUT/ DUT Monitor testbench library ieee; use ieee.std_logic_1164.all; ENTITY testbench IS END testbench; 77

ARCHITECTURE tb_arch OF testbench IS -- signal declarations signal A, B, C, Result : std_logic; BEGIN -- Instantiate the design under test. uut: entity work.xor3_gate(structural) port map (A => A, B => B,... ); -- test vector generator test_gen: process begin -- generate sequence of vectors to -- drive design inputs A, B, and C. end process; end tb_arch; 78

ARCHITECTURE tb_arch OF testbench IS -- signal declarations signal A, B, C, Result : std_logic; BEGIN -- DUT instance... -- test vector generator process begin A <= 1 ; -- first test vector B <= 0 ; C <= 0 ; wait for 20ns; -- wait for circuit -- to stablize... end process; end tb_arch; 79