VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Similar documents
Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Mixed Signal Verification Transistor to SoC

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

IOT is IOMSLPT for Verification Engineers

ASIC world. Start Specification Design Verification Layout Validation Finish

AMS Behavioral Modeling

REAL VALUE MODELING FOR IMPROVING THE VERIFICATION PERFORMANCE

Will Everything Start To Look Like An SoC?

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Contents 1 Introduction 2 Functional Verification: Challenges and Solutions 3 SystemVerilog Paradigm 4 UVM (Universal Verification Methodology)

Will Everything Start To Look Like An SoC?

Equivalence Validation of Analog Behavioral Models

Warren Anderson Ravi Ram AMD Vijay Akkaraju Synopsys

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Analog Verification Concepts: Industrial Deployment Case Studies

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Harmony-AMS Analog/Mixed-Signal Simulator

PowerAware RTL Verification of USB 3.0 IPs by Gayathri SN and Badrinath Ramachandra, L&T Technology Services Limited

Design Process. Design : specify and enter the design intent. Verify: Implement: verify the correctness of design and implementation

Making the Most of your MATLAB Models to Improve Verification

Synopsys Design Platform

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Incisive Enterprise Verifier

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Laker 3 Custom Design Tools

Virtuoso Characterization

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Cell-Based Design Flow. TA : 吳廸優

Hardware Design Verification: Simulation and Formal Method-Based Approaches William K Lam Prentice Hall Modern Semiconductor Design Series

Comprehensive design and verification with the industry s leading simulators

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Getting Started with Cadence Draft #3. Dan Kelly The School of Electrical and Electronic Engineering The University of Adelaide December 2, 2005

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

Virtuoso Layout Suite XL

Parag Choudhary Engineering Architect

An Evaluation of the Advantages of Moving from a VHDL to a UVM Testbench by Shaela Rahman, Baker Hughes

Programmable Logic Devices HDL-Based Design Flows CMPE 415

The Need for Speed: Understanding design factors that make multicore parallel simulations efficient

101-1 Under-Graduate Project Digital IC Design Flow

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

UVM Ready: Transitioning Mixed-Signal Verification Environments to Universal Verification Methodology

New Challenges in Verification of Mixed-Signal IP and SoC Design

Validation Strategies with pre-silicon platforms

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Efficient Failure Triage with Automated Debug: a Case Study by Sean Safarpour, Evean Qin, and Mustafa Abbas, Vennsa Technologies Inc.

Integrate Ethernet QVIP in a Few Hours: an A-to-Z Guide by Prashant Dixit, Questa VIP Product Team, Mentor Graphics

High Quality, Low Cost Test

Advanced Verification

AMS DESIGN METHODOLOGY

Short Course On Phase-Locked Loops and Their Applications Day 3, PM Lecture. Behavioral Simulation Exercises

Tackling Verification Challenges with Interconnect Validation Tool

An approach to accelerate UVM based verification environment

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Leveraging Formal Verification Throughout the Entire Design Cycle

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Overview of Digital Design with Verilog HDL 1

Analog Verification. Ken Kundert. Copyright 2009, Designerʹs Guide Consulting, Inc. All Rights Reserved

Cadence Rapid Adoption Kits

Evolution of UPF: Getting Better All the Time

Trend in microelectronics The design process and tasks Different design paradigms Basic terminology The test problems

designs with signals operating in the multi-gigahertz (MGH) frequency range. It

Quality Assured SoC Design Using Crossfire. A Fractal whitepaper

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

PA GLS: The Power Aware Gate-level Simulation

Electrical optimization and simulation of your PCB design

System-on-Chip Design for Wireless Communications

Galaxy Custom Designer LE Custom Layout Editing

Universal Verification Methodology(UVM)

UPF GENERIC REFERENCES: UNLEASHING THE FULL POTENTIAL

Is Power State Table Golden?

SOI REQUIRES BETTER THAN IR-DROP. F. Clément, CTO

ADVANCED DIGITAL IC DESIGN. Digital Verification Basic Concepts

Concurrent, OA-based Mixed-signal Implementation

ZeBu : A Unified Verification Approach for Hardware Designers and Embedded Software Developers

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Comprehensive Place-and-Route Platform Olympus-SoC

Automotive Safety Manual

EE 4755 Digital Design Using Hardware Description Languages

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

DO-254 Testing of High Speed FPGA Interfaces by Nir Weintroub, CEO, and Sani Jabsheh, Verisense

NetSpeed ORION: A New Approach to Design On-chip Interconnects. August 26 th, 2013

Cell-Based Design Flow. 林丞蔚

Cover TBD. intel Quartus prime Design software

The Application of Formal Technology on Fixed-Point Arithmetic SystemC Designs

VERIFICATION OF RISC-V PROCESSOR USING UVM TESTBENCH

Microsemi IP Cores Accelerate the Development Cycle and Lower Development Costs

Agenda. Presentation Team: Agenda: Pascal Bolzhauser, Key Developer, Lothar Linhard, VP Engineering,

Cover TBD. intel Quartus prime Design software

Formal Verification: Not Just for Control Paths

Case study of Mixed Signal Design Flow

Stepping into UPF 2.1 world: Easy solution to complex Power Aware Verification. Amit Srivastava Madhur Bhargava

Transcription:

DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly increasing due to growing analog content, advanced analog and digital interfaces and tougher requirements for safety and reliability. This is driving a crucial need for advanced verification methodologies and technologies. Synopsys VCS AMS mixed-signal verification solution, incorporating VCS functional verification and the CustomSim FastSPICE simulator, delivers advanced functional and low-power verification technologies combined with class-leading performance and capacity for faster mixedsignal SoC regression testing. Introduction The VCS AMS mixed-signal verification solution delivers class-leading performance and capacity for faster mixed-signal SoC regression testing. By natively integrating advanced technologies for functional and low-power verification, coupled with analog extensions to the proven UVM methodology, VCS AMS enables the rapid development of a coverage-driven, constrained-random testbench that can be run in parallel across compute farms to reduce overall regression testing cost. VCS AMS Compute Farm VCS Functional Verification CustomSim FastSPICE Simulation Performance Figure 1: VCS AMS VCS AMS benefits from a proprietary integration of high performance analog and digital simulation engines. While other mixed-signal verification solutions lag in performance due to their slow analog simulation engine and have to rely on behavioral modeling for improvement, VCS AMS integrates CustomSim s FastSPICE engine to provide class-leading performance with transistor-level accuracy. Multicore Technology By supporting multicore simulation technology in its FastSPICE engine, VCS AMS delivers even higher verification throughput, enabling scalable mixed-signal regression testing with transistor-level accuracy (see Figure 2). synopsys.com

Hours 16 14 12 10 8 6 4 2 0 1 2 4 8 16 24 Cores 15 hours to 3 hours RF RX, 300K tx 5X Days 30 25 20 15 10 5 0 1 2 4 6 12 Cores 3.5X 25 days to 7 days PMU, 500K tx Hours 35 30 25 20 15 10 5 0 2 8 Cores 6.6X 32 hours to <5 hours Display, 400K tx Figure 2: VCS AMS multicore performance improvement examples Flexibility As different mixed-signal design applications require different configurations of SPICE netlist, RTL and behavioral models, flexibility in languages and topologies supported is crucial for a mixed-signal verification solution. As more or less accuracy may be needed as the design and verification process progress, the solution should be configurable between SPICE-level representation to more abstract levels, such as Verilog-AMS or Real Number Modeling. VCS AMS offers a versatile use model enabling any mixture of abstraction level and design hierarchy with language support for SystemVerilog, Verilog, VHDL, Verilog-AMS and SPICE (see Table 1). Post-layout simulation is supported through SPF, DSPF and SPEF formats. Analog Digital Mixed-signal SPICE Verilog Verilog-AMS Verilog-A VHDL Real number model SPEF, DSPF, DPF SystemVerilog SystemC, Matlab Table 1: Modeling languages and formats supported by VCS AMS VCS AMS fully enables complex design architectures by providing not only analog, digital or mixed-signal on top configurations, but also any number of hierarchy levels for those configurations. Increased Productivity Achieving time-to-market goals is a critical driver for today s mixed-signal SoC designs. Ease-of-use and early detection of design errors caused by complex inter-block connectivity or analog-to-digital interfaces are key requirements of a productive mixedsignal verification solution. VCS AMS offers the following features to minimize setup time, identify connectivity errors and increase verification productivity: Easy setup: mixed-signal configuration and setup are minimal due to netlist-driven flow support Automatic insertion of analog-to-digital interface elements: the correct interface elements are automatically inserted with parameter optimization (direction, voltage supply, impedance, strength) to avoid convergence or accuracy issues Diagnostic reports: reports are automatically generated on interface elements, connectivity, port mapping and design hierarchy to enable debug of design connectivity errors during simulation Save and restore: enables faster regression testing throughput by resuming simulation from a previously saved state 2

Native Circuit Checking for Power Management Many SoC design failures happen at the mixed-signal interface so verifying that electric design rules are not violated and power domains are not mismatched is crucial at the chip level. VCS AMS provides a comprehensive set of static and dynamic native checks to quickly identify electric rule violations and power management design errors (see Figure 3). With this technology, mixed-signal designers can identify violations such as missing level shifters, leakage paths or power-up checks at the SoC level and avoid design errors before tapeout. Circuit Checks Programmable checks Typical Errors Detected ESC/topography checks Custom checks Static checks Floating gates Excess leakage paths Mismatched power domain Dynamic checks Safe operating area Power-up checks Figure 3: VCS AMS native circuit checks enable ERC and low-power diagnostics Low-power Verification VCS AMS provides a comprehensive mixed-signal low-power verification solution by extending VCS native low power (NLP) technology, supporting UPF, for mixed-signal designs. While passing voltage levels between digital and analog, interface elements are automatically inserted to accurately model power design intent (see Figure 4). This approach automates a tedious and error-prone process and provides a system-level solution for low power. Vtyp Top Always On Domain VREG Vtvp D2A R2E High Speed Domain (SPICE) AD2 ISO Vlow ISO ISO Vlow Low Power Domain Vtyp High Speed Domain I/O Nets Figure 4: VCS AMS extends NLP to mixed-signal by passing the right power level and adjusting interface elements accordingly 3

Advanced Mixed-signal Behavioral Modeling VCS AMS provides a broad solution for advanced behavioral modeling by providing support for Verilog-AMS and real number modeling (real, wreal and SystemVerilog nettype). Verilog-AMS was the first language introduced in the mixed-signal space and aimed to provide a good trade-off between accuracy and speed. This language has however several limitations that made its adoption really challenging, especially for modern SoCs: incorrect modeling leading to convergence issues or poor performance, necessity for calibration of those models versus their SPICE counterparts. Real number modeling (real, wreal) represents the second generation of behavioral modeling. It models the analog behavior in the digital domain using discretely simulated REAL values. The end result is a considerable speed-up in simulation, but with less accuracy. In addition, real number modeling has some existing language limitations: lack of support for user-defined types that can hold one or more real and user-defined resolution functions, and no true relationship between current and voltage. To alleviate the limitations in current behavioral modeling approaches (see Figure 5), VCS AMS introduces the next generation of real number models based on SystemVerilog. Verilog-AMS Behavioral modeling with mixed constructs Need calibration and modeling expertise Real Number Model Faster approach Loss in accuracy Modeling limitations for analog SystemVerilog Nettype Extend Real Number model for analog Faster than Verilog-AMS Figure 5: Verilog-AMS and real number modeling leading to SystemVerilog nettype SystemVerilog nettype provides significant modeling improvements for modern mixed-signal SoC verification: User-defined types that can hold one or more real values User-defined resolution functions Dynamic selection of an interconnect type based on connectivity Modeling flexibility SystemVerilog nettype provides high performance and broad modeling capabilities for faster verification with higher accuracy. AMS Testbench Synopsys AMS Testbench extends the proven SystemVerilog-based UVM methodology, equipping mixed-signal designers to: Integrate reference models with various abstraction levels Introduce assertions and checkers on analog nodes Sample analog nodes to monitor incoming traffic Introduce constraint-random verification for driving analog nodes Introduce analog coverage Introduce verification planning and regression management in a mixed-signal context 4

Using AMS Testbench, verification techniques that are standard practice in digital verification can be leveraged to rapidly develop a powerful constrained-random, coverage-driven testbench for regression testing of mixed-signal SoCs (see Figure 6). Select voltage generator SystemVerilog UVM Sequencer or generator Configurations Voltage generator {Sawtooth, Sine, Rand} 1 2 3 Driver SystemVerilog Real Self-checking DUT (SPICE) Analog block UVM checkers SystemVerilog interface using real SystemVerilog assertions UVM checkers Figure 6: AMS Testbench for analog IP Debug Environment VCS AMS is supported by Synopsys debug environments (see Figure 7). Synopsys custom Simulation and Analysis Environment (SAE) provides a schematic-based solution, while the Discovery Visualization Environment (DVE) and Verdi Advanced AMS Debug offer advanced debug and visualization environments supporting a rich set of verification features including: Low power SystemVerilog testbench debug Coverage reporting and visualization Verification planning and management TCL support is provided for interaction or batch control and menu customizations across SAE, DVE and Verdi. TB HDL SPICE KDB FSDB Figure 7: Verdi Advanced AMS Debug 5

Inputs supported HSPICE, Spectre & Eldo netlist formats SystemVerilog, Verilog, VHDL SystemC Common HSPICE device models, Spectre & Eldo models Verilog-A, Verilog-AMS and Real Number modeling SPEF, DPSF and SPF for post-layout parasitic data VCD and VEC stimulus input format TCL scripting Outputs supported FSDB, VPD, WDF, WDB for analog waveform database formats FSDB, VPD for digital waveform database format VPD for unified analog/digital waveform database format Platforms supported SPARC Solaris x86 Red Hat Enterprise x86 SUSE Enterprise For more information about Synopsys products, support services or training, visit us on the web at: synopsys.com, contact your local sales representative or call 650.584.5000. 2017 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at http://www.synopsys.com/copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners. 11/17/17.CS11981_VCSAMS_DS.