Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification

Similar documents
Modeling and Verifying Mixed-Signal Designs with MATLAB and Simulink

Making the Most of your MATLAB Models to Improve Verification

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Design and Verification of FPGA and ASIC Applications Graham Reith MathWorks

Design and Verification of FPGA Applications

Accelerating FPGA/ASIC Design and Verification

What's new in MATLAB and Simulink for Model-Based Design

Hardware Implementation and Verification by Model-Based Design Workflow - Communication Models to FPGA-based Radio

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Design and Verify Embedded Signal Processing Systems Using MATLAB and Simulink

Model-Based Design for effective HW/SW Co-Design Alexander Schreiber Senior Application Engineer MathWorks, Germany

Model-Based Design for Altera FPGAs Using HDL Code Generation The MathWorks, Inc. 1

Modeling a 4G LTE System in MATLAB

Integrated Workflow to Implement Embedded Software and FPGA Designs on the Xilinx Zynq Platform Puneet Kumar Senior Team Lead - SPC

Implementing MATLAB Algorithms in FPGAs and ASICs By Alexander Schreiber Senior Application Engineer MathWorks

Model-Based Design: Design with Simulation in Simulink

What s New in Simulink in R2015b and R2016a

Model-Based Design for Video/Image Processing Applications

Reuse MATLAB Functions and Simulink Models in UVM Environments with Automatic SystemVerilog DPI Component Generation

Accelerate FPGA Prototyping with

Introduction to C and HDL Code Generation from MATLAB

Designing and Prototyping Digital Systems on SoC FPGA The MathWorks, Inc. 1

Intro to System Generator. Objectives. After completing this module, you will be able to:

Modelling and Simulation Made Easy with Simulink Tiffany Liang Application Engineer MathWorks

EEM870 Embedded System and Experiment Lecture 4: SoC Design Flow and Tools

Designing and Analysing Power Electronics Systems Using Simscape and SimPowerSystems

Model-Based Design Using Simulink, HDL Coder, and DSP Builder for Intel FPGAs By Kiran Kintali, Yongfeng Gu, and Eric Cigan

Comprehensive AMS Verification using Octave, Real Number Modelling and UVM

Parag Choudhary Engineering Architect

IOT is IOMSLPT for Verification Engineers

CO SIMULATION OF GENERIC POWER CONVERTER USING MATLAB/SIMULINK AND MODELSIM

[Sub Track 1-3] FPGA/ASIC 을타겟으로한알고리즘의효율적인생성방법및신기능소개

Case Study on DiaHDL: A Web-based Electronic Design Automation Tool for Education Purpose

Four Best Practices for Prototyping MATLAB and Simulink Algorithms on FPGAs by Stephan van Beek, Sudhir Sharma, and Sudeepa Prakash, MathWorks

Programmable Logic Devices HDL-Based Design Flows CMPE 415

A Beginner s Guide to SerDes and AMI Modeling. Todd Westerhoff, SiSoft Corey Mathis, MathWorks

Cover TBD. intel Quartus prime Design software

Cover TBD. intel Quartus prime Design software

THE DESIGNER'S GUIDE TO VERILOG-AMS First Edition June 2004

Hardware-Software Co-Design and Prototyping on SoC FPGAs Puneet Kumar Prateek Sikka Application Engineering Team

The SOCks Design Platform. Johannes Grad

Optimization and Implementation of Embedded Signal Processing Algorithms Jonas Rutström Senior Application Engineer

Codegenerierung für Embedded Systeme leicht gemacht So geht s!

Optimize DSP Designs and Code using Fixed-Point Designer

Cadence SystemC Design and Verification. NMI FPGA Network Meeting Jan 21, 2015

USING MATLAB AND SIMULINK IN A SYSTEMC VERIFICATION ENVIRONMENT

Model-Based Design: Generating Embedded Code for Prototyping or Production

MODEL BASED HARDWARE DESIGN WITH SIMULINK HDL CODER

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

EE 4755 Digital Design Using Hardware Description Languages

Master Class: Diseño de Sistemas Mecatrónicos

Early Models in Silicon with SystemC synthesis

Vom Konzept zum Modell physikalischer Systeme Smarter Modellieren mit Simscape

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

Evolution of CAD Tools & Verilog HDL Definition

Introducing Simulink Release 2012b for Control System Development Mark Walker MathWorks

The Application of SystemC to the Design and Implementation of a High Data Rate Satellite Transceiver

RTL Coding General Concepts

Mentor Graphics Solutions Enable Fast, Efficient Designs for Altera s FPGAs. Fall 2004

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

Abstraction Layers for Hardware Design

Mixed-signal Modeling Using Simulink based-c

Model-Based Embedded System Design

Introducing Simulink R2012b for Signal Processing & Communications Graham Reith Senior Team Leader, UK Application Engineering

Introduction to DSP/FPGA Programming Using MATLAB Simulink

University of Massachusetts Amherst Department of Electrical & Computer Engineering

Moving MATLAB Algorithms into Complete Designs with Fixed-Point Simulation and Code Generation

101-1 Under-Graduate Project Digital IC Design Flow

Simulink Matlab To Vhdl Route For Full Custom Fpga Rapid

Appendix SystemC Product Briefs. All product claims contained within are provided by the respective supplying company.

Design of DMA Controller Using VHDL

Simulation, prototyping and verification of standards-based wireless communications

Reducing Design Errors in Complex State Machines using Model-Based Design

System-on-Chip Design for Wireless Communications

THE DESIGN ENVIRONMENT FOR HETEROGENEOUS SYSTEMS

Designing and Targeting Video Processing Subsystems for Hardware

AMS DESIGN METHODOLOGY

Overview. Design flow. Principles of logic synthesis. Logic Synthesis with the common tools. Conclusions

2015 The MathWorks, Inc. 1

Extending Model-Based Design for HW/SW Design and Verification in MPSoCs Jim Tung MathWorks Fellow

A mixed signal verification platform to verify I/O designs

A Systematic Approach to Creating Behavioral Models CDNLive, March, 2015 Bob Peruzzi, Joe Medero

Entwicklung mechatronischer Systeme in der Luft- und Raumfahrt

NEW FPGA DESIGN AND VERIFICATION TECHNIQUES MICHAL HUSEJKO IT-PES-ES

Implementation and Verification Daniel MARTINS Application Engineer MathWorks

EE 4755 Digital Design Using Hardware Description Languages

System Requirements & Platform Availability by Product for R2016b

MATLAB/Simulink 기반의프로그래머블 SoC 설계및검증

An introduction to CoCentric

Simulink as Your Enterprise Simulation Platform

Mixed Signal Verification Transistor to SoC

Navigating the RTL to System Continuum

CMPE 415 Programmable Logic Devices Introduction

MATLAB/Simulink in der Mechatronik So einfach geht s!

MODELING PHASE-LOCKED LOOPS USING VERILOG

Intro to High Level Design with SystemC

AMS Behavioral Modeling

Contemporary Design. Traditional Hardware Design. Traditional Hardware Design. HDL Based Hardware Design User Inputs. Requirements.

Advanced Verification Topics. Bishnupriya Bhattacharya John Decker Gary Hall Nick Heaton Yaron Kashai Neyaz Khan Zeev Kirshenbaum Efrat Shneydor

Incisive Enterprise Verifier

Transcription:

Connecting MATLAB & Simulink with your SystemVerilog Workflow for Functional Verification Corey Mathis Industry Marketing Manager Communications, Electronics, and Semiconductors MathWorks 2014 MathWorks, Inc. 1

Who is MathWorks? 2

System Design & Simulation in Simulink Unique advantages Model continuous-time and discrete-time components together Express analog filters as Laplace transforms or RLC circuits Variable step ODE solvers Feedback control loops, VCOs, PLLs 3

ASIC/SoC-LEVEL TEST & VERIFICATION ASIC/SoC Design Flow Model-Based Design Integration RESEARCH REQUIREMENTS MODEL GENERATION SystemC Digital Models SystemVerilog ALGORITHM DESIGN Environment Models Analog Models Timing and Control Logic Algorithms ALGORITHM IMPLEMENTATION C/C++ HDL MCU DSP FPGA ASIC RF Models RF Analog Transistor ALGORITHM TEST & VERIFICATION ALGORITHM INTEGRATION ASIC/SoC-LEVEL INTEGRATION 4

Design Gap How to bridge MathWorks tools and EDA tools?? How do I reuse AMS models from Simulink in an EDA environment? How can I reuse my testbench for digital ASIC verfication? 5

Co-simulation with Simulink Verify the detailed level design: within the context of a full system simulation using the visualization and analysis capabilities of Simulink and MATLAB testing each module independently of other modules 6

Using Co-simulation for Model Elaboration Ideal behavioral model Cosimulation Refined model 7

Algorithm System Verilog DPI-C Component Generation Reuse of models in SystemVerilog Testbench Data Source Component Model Develop System components (IP and test benches) in Simulink and MATLAB Model, Simulate, and Verify Component Analysis Model Algorithmic System-level Testbench Environment Model Export Components as C code with SystemVerilog wrappers Integrate DPI-C components in Virtuoso and Incisive DPI-C DPI-C DPI-C DPI-C SystemVerilog Testbench Environment Verify Verification of the complete system design! Embedded Coder HDL Verifier 8

Using C Code Generation and the DPI-C Interface 1. Generate C code from your Simulink model 2. Automatically wrap the C code using the DPI-C interface 3. Import, build and simulate an equivalent behavioral SystemVerilog model in your IC design tool 2. SystemVerilog wrapper Simulink 1. C Code 3. Cadence 9

Benefits of C Code Generation and DPI-C Export Fast simulation using the native SystemVerilog API IC design tool independent Customizable approach supported by MathWorks Leverages mature C code generation technology Most suitable for testbench generation and IC verification Support discrete and continuous time signals Simulink Cadence 10

Some Details 11

Mixed-Signal ADC Model Sine Wave Signal Source First Order Sigma Delta Analog Low Pass Filter Digital Decimator Filter 12

Export of Mixed-Signal Models Continuous time signals Discrete time solver 13

From Variable to Fixed Time Step Solver Chose a fixed sample time that it is small enough to give correct results Tradeoff accuracy and simulation time Large time step Small time step 14

Clocks in SystemVerilog Determine the Scheduling of the Execution Simulink handles multi-rate systems automatically Simulink supports model generation for hierarchical subsystems or individual components Need to define multi-rate clocks to schedule the SystemVerilog execution when different rate components are generated Fast Rate Slow Rate Fast Clock Slow Clock 15

Model Analog Circuits with Simscape Model analog electronics with Simscape Generate a SystemVerilog component for simulation in the IC Design Tools 16

Algorithm Digital Workflow Data Source Analysis Algorithmic System-level Testbench Component Model Component Model Environment Model HDL Coder Used to generate synthesible RTL HDL for design models SystemVerilog DPI-C Reuse of golden testbench: Signal sources Support for Enviorment and channel models Measurement block and algorithms MATLAB Simulink Stateflow DPI-C RTL HDL (VHDL, Verilog) RTL HDL (VHDL, Verilog) SystemVerilog Testbench Environment DPI-C HDL Coder 17

Digital Workflow Example MathWorks can export HDL code for pure digital models Testbenches need to be exported to Cadence via DPI-C 18

Digital Workflow Example 1. Generate synthesizable Verilog or VHDL for design components 19

Digital Workflow Example 2. Generate C code with SystemVerilog wrappers for testbench components 20

Digital Workflow Example 3. Import HDL and SystemVerilog into Cadence for simulation/verification 21

Certified by STARC http://www.mathworks.com/company/newsletters/articles/a-next-generation-workflow-for-system-level-design-of-mixed-signal-integrated-circuits.html 22

SystemVerilog Workflow Summary MATLAB & Simulink provide a diverse environment for early stage design exploration Co-simulation provides a means of elaborating on initial behavioral models to match detailed level designs The DPI-C link for SystemVerilog provides a robust way of exporting behavioral models from MathWorks tools into Cadence for the purpose of functional verification 23

Q / A 24