V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

Similar documents
VHX - Xilinx - FPGA Programming in VHDL

PINE TRAINING ACADEMY

L2 - C language for Embedded MCUs

VHDL Essentials Simulation & Synthesis

VHDL for Synthesis. Course Description. Course Duration. Goals

RM3 - Cortex-M4 / Cortex-M4F implementation

RT3 - FreeRTOS Real Time Programming

RA3 - Cortex-A15 implementation

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

D1S - Embedded Linux with Ac6 System Workbench

HIERARCHICAL DESIGN. RTL Hardware Design by P. Chu. Chapter 13 1

Outline HIERARCHICAL DESIGN. 1. Introduction. Benefits of hierarchical design

RM4 - Cortex-M7 implementation

קורס VHDL for High Performance. VHDL

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

FPGA for Software Engineers

Digital Design Using Digilent FPGA Boards -- Verilog / Active-HDL Edition

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

FPQ6 - MPC8313E implementation

FCQ2 - P2020 QorIQ implementation

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

: : (91-44) (Office) (91-44) (Residence)

St.MARTIN S ENGINEERING COLLEGE Dhulapally, Secunderabad

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

INSTITUTE OF AERONAUTICAL ENGINEERING Dundigal, Hyderabad ELECTRONICS AND COMMUNICATIONS ENGINEERING

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Verilog for High Performance

FA3 - i.mx51 Implementation + LTIB

The Designer's Guide to VHDL Second Edition

MLR Institute of Technology

EEL 4783: Hardware/Software Co-design with FPGAs

STG - STM32 + FreeRTOS + LwIP/EmWin

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Lecture 9. VHDL, part IV. Hierarchical and parameterized design. Section 1 HIERARCHICAL DESIGN

EECS150 - Digital Design Lecture 10 Logic Synthesis

EECS150 - Digital Design Lecture 10 Logic Synthesis

Synthesis vs. Compilation Descriptions mapped to hardware Verilog design patterns for best synthesis. Spring 2007 Lec #8 -- HW Synthesis 1

Lecture #1: Introduction

Design Methodologies and Tools. Full-Custom Design

Logic Synthesis. EECS150 - Digital Design Lecture 6 - Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

Introduction to VHDL #3

Topics. Midterm Finish Chapter 7

Chapter 9: Integration of Full ASIP and its FPGA Implementation

Method We follow- How to Get Entry Pass in SEMICODUCTOR Industries for 3rd year engineering. Winter/Summer Training

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

Design Methodologies. Full-Custom Design

Verilog Sequential Logic. Verilog for Synthesis Rev C (module 3 and 4)

D1Y - Embedded Linux with Yocto

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

DESIGN STRATEGIES & TOOLS UTILIZED

Digital Logic Design Lab

FPQ9 - MPC8360E implementation

Finite State Machines

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Hardware Synthesis. References

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

EEL 4783: HDL in Digital System Design

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

Finite State Machines

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

Summary of FPGA & VHDL

FPGAs: Instant Access

R07. IV B.Tech. II Semester Supplementary Examinations, July, 2011

ECE 545 Lecture 12. Datapath vs. Controller. Structure of a Typical Digital System Data Inputs. Required reading. Design of Controllers

C-Based Hardware Design

CprE 583 Reconfigurable Computing

An Introduction to Programmable Logic

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

EITF35: Introduction to Structured VLSI Design

CSE140L: Components and Design

Embedded Controller Design. CompE 270 Digital Systems - 5. Objective. Application Specific Chips. User Programmable Logic. Copyright 1998 Ken Arnold 1

0. Overview of this standard Design entities and configurations... 5

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

FPGA Based Digital Design Using Verilog HDL

A Tutorial Introduction 1

ECOM 4311 Digital System Design using VHDL. Chapter 7

Programmable Logic Devices UNIT II DIGITAL SYSTEM DESIGN

EITF35: Introduction to Structured VLSI Design

Advanced FPGA Design. Jan Pospíšil, CERN BE-BI-BP ISOTDAQ 2018, Vienna

Introduction to VHDL. Main language concepts

Sunburst Design - Comprehensive SystemVerilog Design & Synthesis by Recognized Verilog & SystemVerilog Guru, Cliff Cummings of Sunburst Design, Inc.

EE178 Spring 2018 Lecture Module 1. Eric Crabill

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

VHDL for Logic Synthesis

KING FAHD UNIVERSITY OF PETROLEUM & MINERALS COMPUTER ENGINEERING DEPARTMENT

Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING. Fifth Semester. Subject: VHDL Programming

CONTENTS CHAPTER 1: NUMBER SYSTEM. Foreword...(vii) Preface... (ix) Acknowledgement... (xi) About the Author...(xxiii)

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

CSE140L: Components and Design Techniques for Digital Systems Lab

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

Programable Logic Devices

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

Control and Datapath 8

DIGITAL LOGIC DESIGN VHDL Coding for FPGAs Unit 6

Lab #1: Introduction to Design Methodology with FPGAs part 1 (80 pts)

Evolution of CAD Tools & Verilog HDL Definition

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

Transcription:

Formation VHDL Language: FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) - Programmation: Logique Programmable V1 - VHDL Language FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives Comprehend the various possibilities offered by VHDL language Discover the complete design flow Understand the logical synthesis notions Implementing combinational and sequential logic Developing Finite State Machines Learning how to write efficient test benches for simulation Checking Timings Reusing and configuring components Course environment A PC in pairs Xilinx ISE Design Suite v.14.7 IDE / Xilinx Vivado v.2013.4 IDE Nexys-3 (Xilinx Spartan6-based) board / Nexys-4 (Xilinx Artix7-based) board For Lattice: Diamond v3.0 with Synplify Pro and Active-HDL + ECP2 ou MachXO For Actel: Libero with Synplify Pro and ModelSim + Actel Fusion Prerequisites Knowledge of digital technology Concepts of Boolean algebra Some programming concepts are desirable (whatever language) Plan First day Reminder on digital electronic Combinational Logic Sequential (Synchronous) Logic Schematics / Hierarchical representation Structure of an Integrated Circuit From the logic gate to the FPGAs

SSI (small scale integration), TTL MSI (medium scale integration), PALs, GALs, PLDs LSI (large scale integration), CPLDs VLSI (very large scale integration), ASICs, ASSPs, FPGAs Development of logical architectures Technology constraints Interconnection methods (SRAM, Fuse, AntiFuse, Flash) Clock distribution Logic element types Look Up Table Basic logic cell I/O modules Timing issues VHDL Contributions Benefits of VHDL programming The VHDL Design Flow Programming Simulation Synthesis Mapping Place and Route Timing Analysis Bitstream generation V1 - VHDL Language 04/20/18 VHDL Basic concepts The Entity / architecture concept Entity declaration Ports Different styles of architecture Libraries and context The work library Component instantiation Port map Simulation flow and environment The Testbench Exercice : Understanding the steps of design and programming Getting started with the IDE Creating a project from scratch Synthesis / Translate / Map / Place and Route (PAR) /BitGen Report Analysis Assigning I/O locations using Planahead (editing constraint file) Schematics Views Analyzing the placement Flashing with Impact Exercice : Getting started with the simulator, waveform generation and analysis Lexical items Comments Identifiers and keywords Characters, Strings, Numbers, Bit strings Constants Signals Variables and aliases Data types Scalar types: VHDL Syntax

Integer Real Enumerated type Physical types Composite types: Array Record Special types Library and Packages Standard package IEEE packages Std_logic_1164 package Multi-valued types Multi-driver and resolved types Numeric types Type conversion Aggregates Attributes Type attributes Signal attributes Exercice : Importing a predefined hardware definition in the project, instantiating a component Second day Combinational logic in VHDL Concurrent instructions Component instantiation Signal affectation Simple affectation With Select When statement When Else statement Unaffected keyword Variable aggregates Relational operators Arithmetic operators Concatenation / Slicing Sequential instructions Processes Sensitivity list, Wait statement Potential interpretation incoherencies between logical synthesis and simulation Signal affectation Transparent Latch Use of variables If Then Else statement Case When statement Null statement Iterative statements: For loop While loop Conditional Iteration Numeric_std / Numeric_bit packages Defined Types and Operators Conversion functions Ambiguity about the types and the «use» clause Exercice : Coding, simulating and synthesizing a bounds enforcer Exercice : Designing a 7-segment decoder Exercice : Designing a 4-bit adder

Testbench and simulation A few basic rules for the writing of an efficient test bench Potential incoherencies between logical synthesis and simulation : how to avoid it VHDL instructions specific to simulation Delay insertion, after Inertial and transport delays on clause until clause for clause Test vector generation: Array of records Simulation Loop Exercice : Designing and testing a logical address decoder Third day Limits of asynchronous designs Synchronous Design, Registers and Timing Pipeline notion D Flip-flop description Use of Variable for synchronous process Variable Synthesis Reset and Set management Clock Enable Tri-state buffers description Synchronous design methodology Memory Synthesis Asynchronous RAM Synchronous RAM Single port Double port Pipelined ROM IP generator introduction Exercice : Designing a counter/decounter Exercice : Designing a FIFO Synchronous logic in VHDL Fourth day Mealy and Moore machines Graphic representations Implementation VHDL translation Design principles of an FSM with two processes Reset of a state machine Exercice : Designing a burstable RAM controller The state machines

Hierarchical Conception Hierarchical division Analysis and Elaboration Components and Configurations Components Configuring components instances Direct instantiation Basic configurations Configuration declaration Default binding Configuration specification Port map and Generic map Genericity and automatic configuration of re-usable modules Packages Package Declarations Package Bodies Using package Libraries Exercice : Designing a generic 4-digits BCD-counter/decounter and displaying it on a 7-segment display Renseignements pratiques Durée : 4 jours Prix : 1950 HT SARL au capital de 138600 - SIRET 449 597 103 00026 - RCS Nanterre - NAF 722C - Centre de Formation : 19, rue Pierre Curie - 92400 Courbevoie Siège social et administration : 21, rue Pierre Curie - 92400 Courbevoie - Tél. 01 41 16 80 10 - Fax. 01 41 16 07 78 Dernière mise à jour du site: Fri Apr 20 10:16:31 2018 http://www.ac6-formation.com/