VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Similar documents
Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

Lecture 2 Hardware Description Language (HDL): VHSIC HDL (VHDL)

Hardware Synthesis. References

The Optimization of a Design Using VHDL Concepts

EE595. Part VIII Overall Concept on VHDL. EE 595 EDA / ASIC Design Lab

RTL Coding General Concepts

Evolution of CAD Tools & Verilog HDL Definition

Design Progression With VHDL Helps Accelerate The Digital System Designs

VHDL. Chapter 7. Behavioral Modeling. Outline. Behavioral Modeling. Process Statement

ELCT 501: Digital System Design

For a long time, programming languages such as FORTRAN, PASCAL, and C Were being used to describe computer programs that were

The block diagram representation is given below: The output equation of a 2x1 multiplexer is given below:

Tutorial on VHDL and Verilog Applications

FPGA Based Digital Design Using Verilog HDL

Lecture 3 Introduction to VHDL

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

ENGIN 241 Digital Systems with Lab

Programmable Logic Devices HDL-Based Design Flows CMPE 415

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

C-Based Hardware Design

CET4805 Component and Subsystem Design II. EXPERIMENT # 2: VHDL(VHSIC Hardware Descriptive Language) Name: Date:

Hardware Description Languages (HDLs) Verilog

Outline. CPE/EE 422/522 Advanced Logic Design L05. Review: General Model of Moore Sequential Machine. Review: Mealy Sequential Networks.

Digital System Design

Experiment 8 Introduction to VHDL

Introduction to VHDL #3

Digital Systems Laboratory

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Overview of Digital Design with Verilog HDL 1

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Introduction to VHDL Design on Quartus II and DE2 Board

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

Hardware Description Language VHDL (1) Introduction

What is Verilog HDL? Lecture 1: Verilog HDL Introduction. Basic Design Methodology. What is VHDL? Requirements

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

EEL 4783: Hardware/Software Co-design with FPGAs

01 1 Electronic Design Automation (EDA) the correctness, testability, and compliance of a design is checked by software

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4:

PINE TRAINING ACADEMY

V1 - VHDL Language. FPGA Programming with VHDL and Simulation (through the training Xilinx, Lattice or Actel FPGA are targeted) Objectives

Multi-valued Logic. Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Chapter 1 Overview of Digital Systems Design

Introduction to Verilog. Mitch Trope EECS 240 Spring 2004

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

Introduction to VHDL. Module #5 Digilent Inc. Course

CDA 4253 FPGA System Design Introduction to VHDL. Hao Zheng Dept of Comp Sci & Eng USF

Verilog HDL is one of the two most common Hardware Description Languages (HDL) used by integrated circuit (IC) designers. The other one is VHDL.

EECS 3201: Digital Logic Design Lecture 4. Ihab Amer, PhD, SMIEEE, P.Eng.

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

Lab 3 Verilog Simulation Mapping

Revision: August 30, Overview

CAD for VLSI Design - I. Lecture 21 V. Kamakoti and Shankar Balachandran

EMT1250 LABORATORY EXPERIMENT. EXPERIMENT # 7: VHDL and DE2 Board. Name: Date:

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

Introduction to VHDL #1

Concurrent Signal Assignment Statements (CSAs)

ECE 459/559 Secure & Trustworthy Computer Hardware Design

תכן חומרה בשפת VERILOG הפקולטה להנדסה

Digital Systems Design

Lecture 1: VHDL Quick Start. Digital Systems Design. Fall 10, Dec 17 Lecture 1 1

Digital Design Using VHDL Using Xilinx s Tool for Synthesis and ModelSim for Verification

FPGAs: FAST TRACK TO DSP

Building Combinatorial Circuit Using Behavioral Modeling Lab

101-1 Under-Graduate Project Digital IC Design Flow

Lecture 4. VHDL Fundamentals. George Mason University

Introduction to VHDL

CCE 3202 Advanced Digital System Design

1 Design Process HOME CONTENTS INDEX. For further assistance, or call your local support center

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) -

Design Entry: Schematic Capture and VHDL ENG241: Digital Design Week #4

structure syntax different levels of abstraction

Here is a list of lecture objectives. They are provided for you to reflect on what you are supposed to learn, rather than an introduction to this

VHDL for Logic Synthesis

Don t expect to be able to write and debug your code during the lab session.

DE2 Board & Quartus II Software

Chapter 5: ASICs Vs. PLDs

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 9 & 10 : Combinational and Sequential Logic

01-1 Electronic Design Automation (EDA) The use of software to automate electronic (digital and analog) design.

COE 561 Digital System Design & Synthesis Introduction

Verilog Simulation Mapping

EE595. Part VII VHDL Synthesis Techniques and Recommendations. EE 595 EDA / ASIC Design Lab

VHDL Testbench. Test Bench Syntax. VHDL Testbench Tutorial 1. Contents

EITF35: Introduction to Structured VLSI Design

Chapter 6 Combinational-Circuit Building Blocks

EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs)

EECS150 - Digital Design Lecture 8 - Hardware Description Languages

Outline. EECS150 - Digital Design Lecture 6 - Field Programmable Gate Arrays (FPGAs) FPGA Overview. Why FPGAs?

Lecture 1: Introduction Course arrangements Recap of basic digital design concepts EDA tool demonstration

Verilog Design Entry, Synthesis, and Behavioral Simulation

Introduction to Verilog

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

Design of DMA Controller Using VHDL

Declarations of Components and Entities are similar Components are virtual design entities entity OR_3 is

CS232 VHDL Lecture. Types

FPGAs in a Nutshell - Introduction to Embedded Systems-

Transcription:

Chapter 1 Introduction to VHDL VHDL VHDL - Flaxer Eli Ch 1-1 Course Objectives Affected Write functionally correct and well-documented VHDL code, intended for either simulation or synthesis, of any combinational or sequential logic design. Define and use the three major styles of writing VHDL code (structural, dataflow, behavioral). Utilize Warp2, Active HDL (or Altera Max+Plus2) tools to simulate, synthesize, and implement, in the appropriate technology, any combinational or sequential logic design expressed in VHDL. Using ISR method to program and test a real circuit in Ultra37K-EVB evaluation board. VHDL - Flaxer Eli Ch 1-2 Outline VHDL - Flaxer Eli Ch 1-3 1

Purpose and Background of VHDL Problem Need a method to quickly design, implement, test, and document increasingly complex digital systems Schematics and Boolean equations inadequate for million-gate IC. Solution A hardware description language (HDL) to express the design Associated computer-aided design (CAD) or electronic design automation (EDA) tools for synthesis and simulation Programmable logic devices for rapid implementation of hardware Custom VLSI application specific integrated circuit (ASIC) devices for low-cost mass production VHDL - Flaxer Eli Ch 1-4 Purpose and Background of VHDL Two widely-used HDLs today VHDL Verilog HDL (from Cadence, now IEEE standard) VHDL - Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL history Created by DOD to document military designs for portability IEEE standard 1076 (VHDL) in 1987 Revised IEEE standard 1076 (VHDL) in 1993 IEEE standard 1164 (object types standard) in 1993 (std_logic). IEEE standard 1076.3 (synthesis standard) in 1996 (numeric_std). IEEE standard 1076.4 (timing standard) in 1996 (VITAL). VHDL - Flaxer Eli Ch 1-5 Purpose and Background of VHDL Reasons to use VHDL Power and flexibility Device-independent design Portability among tools and devices Device and tool benchmarking capability VLSI ASIC migration Quick time-to-market and low cost (with programmble logic) Problems with VHDL (?) Loss of control with gate-level implementation (so what?) Inefficient logic implementations via synthesis (engineer-dependent) Variations in synthesis quality among tools (always improving) VHDL - Flaxer Eli Ch 1-6 2

Outline VHDL - Flaxer Eli Ch 1-7 Design Synthesis Process Define the design requirements Describe the design in VHDL Top-down, hierarchical design approach Code optimized for synthesis or simulation Simulate the VHDL source code Early problem detection before synthesis Synthesize, optimize, and fit (place and route) the design for a device Synthesize to equations and/or netlist Optimize equations and logic blocks subject to constraints Fit into the components blocks of a given device Simulate the post-layout design model Check final functionality and worst-case timing Program the device (if PLD) or send data to ASIC vendor VHDL - Flaxer Eli Ch 1-8 Outline VHDL - Flaxer Eli Ch 1-9 3

Design Tool Flow (1) VHDL Design Test Bench/ Stimulus Device Selection Synthesis Directives Source Simulation Software Synthesis Software Waveform Data File Functional Simulation Equations or Netlist To Fitter Software VHDL - Flaxer Eli Ch 1-10 Design Tool Flow (2) Equations or Netlist From Synthesis Test Bench/ Stimulus Fitter (Place & Route) Software Post-fit Simulation Software Device Programming File or ASIC Data Report File Post-fit Model Waveform Data File Full-timing Simulation VHDL - Flaxer Eli Ch 1-11 Design Tool Flow Available CAD Tools Example: Cypress Warp2 Altera Max+Plus2 Viewlogic Workview Office / Xilinx Xact Warp2 (available in the course) VHDL synthesis for Cypress programmable logic devices Fitter for CPLDs Place and Route with static timing analyzer for FPGAs JEDEC-format post-fit functional simulator for PLDs VHDL - Flaxer Eli Ch 1-12 4

Warp2 Desktop VHDL - Flaxer Eli Ch 1-13 Design Tool Flow Altera Max+Plus2 VHDL, AHDL, schematic entry Synthesis and fitter for Altera PLDs and FPGAs VHDL functional simulator and post-fit full-timing simulator Viewlogic Workview Office / Xilinx Xact VHDL, Verilog, schematic entry Synthesis for many vendors PLDs, FPGAs, and ASICs VHDL functional simulator and post-fit full-timing simulator Xilinx Xact place and route for Xilinx FPGAs VHDL - Flaxer Eli Ch 1-14 Outline VHDL - Flaxer Eli Ch 1-15 5

VHDL Example: Mux 4 bit (2 x 1) a 0 External a y b sel b 0 a 1 b 1 a 2 b 2 Y 0 Y 1 Y 2 a 3 b 3 Y 3 Internal Sel VHDL - Flaxer Eli Ch 1-16 VHDL Example and Styles -- Example VHDL code for 4-bit 2-to-1 multiplexer: LIBRARY ieee; USE ieee.std_logic_1164.all; ------------------------------------------------------ ENTITY mymux1 IS PORT (a,b: IN bit_vector(3 DOWNTO 0); --inputs sel: IN bit; y: OUT bit_vector(3 DOWNTO 0)); --output END mymux1; ----------------------------------------------------- ARCHITECTURE behavior OF mymux1 IS BEGIN mux: PROCESS (a,b,sel) BEGIN IF sel = 0 THEN y <= a; ELSE y <= b; END IF; END PROCESS mux; END behavior; VHDL - Flaxer Eli Ch 1-17 VHDL Example: Synthesis Result ---------------------------------------------------------------------------- PLD Compiler Software: C37KFIT.EXE 22/DEC/2000 [v4.02 ] 6.2 IR 27 DESIGN EQUATIONS (10:47:48) y(0) = b(0) * sel + a(0) * /sel y(1) = b(1) * sel + a(1) * /sel y(2) = b(2) * sel + a(2) * /sel y(3) = b(3) * sel + a(3) * /sel Completed Successfully ---------------------------------------------------------------------------- VHDL - Flaxer Eli Ch 1-18 6

VHDL Example and Styles Levels of Abstraction (Architectural Styles): Behavioral High level, algorithmic, sequential execution Hard to synthesize well Easy to write and understand (like high-level language code) Dataflow Medium level, register-to-register transfers, concurrent execution Easy to synthesize well Harder to write and understand (like assembly code) Structural Low level, netlist, component instantiations and wiring Trivial to synthesize Hardest to write and understand (very detailed and low level) VHDL - Flaxer Eli Ch 1-19 Summary VHDL and programmable logic are the best current solution for rapid design, implementation, testing, and documenting of complex digital systems. A standard 6-step design synthesis process is used with VHDL. The general flow of information through standard VHDL synthesis CAD tools was described. Features of the three VHDL CAD tools available (Warp2, Max+Plus2, and Workview) were presented. A VHDL code example was discussed and the three architectural styles of VHDL were defined. VHDL - Flaxer Eli Ch 1-20 7