Design Entry: Schematic Capture and VHDL ENG241: Digital Design Week #4

Similar documents
EE 459/500 HDL Based Digital Design with Programmable Logic. Lecture 4 Introduction to VHDL

[1] Douglas L. Perry, VHDL, third edition, ISBN , McRaw- Hill Series on Computer Engineering.

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

IT T35 Digital system desigm y - ii /s - iii

Lecture 4. VHDL Fundamentals. George Mason University

EEL 4783: Hardware/Software Co-design with FPGAs

Introduction to VHDL. Module #5 Digilent Inc. Course

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Hardware Description Language VHDL (1) Introduction

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

CDA 4253 FPGA System Design Introduction to VHDL. Hao Zheng Dept of Comp Sci & Eng USF

Lecture 4. VHDL Fundamentals. Required reading. Example: NAND Gate. Design Entity. Example VHDL Code. Design Entity

Logic and Computer Design Fundamentals VHDL. Part 1 Chapter 4 Basics and Constructs

C-Based Hardware Design

Contents. Appendix D VHDL Summary Page 1 of 23

Design units can NOT be split across different files

Introduction to VHDL #1

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4:

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 1

Lecture 3 Introduction to VHDL

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

VHDL for FPGA Design. by : Mohamed Samy

Introduction to VHDL

BASIC VHDL LANGUAGE ELEMENTS AND SEMANTICS. Lecture 7 & 8 Dr. Tayab Din Memon

VHDL. Douglas L. Perry. Third Edition

VHDL Part 2. What is on the agenda? Basic VHDL Constructs. Examples. Data types Objects Packages and libraries Attributes Predefined operators

EEL 4783: Hardware/Software Co-design with FPGAs

VHDL BASIC ELEMENTS INTRODUCTION

VHDL. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning

Revision: August 30, Overview

[1] Douglas L. Perry, VHDL, third edition, ISBN , McRaw- Hill Series on Computer Engineering.

Computer Aided Design Basic Syntax Gate Level Modeling Behavioral Modeling. Verilog

Lecture 7. Summary of two-level combinational-logic. Ways of specifying circuits. Solving combinational design problems. Verilog versus VHDL

Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING. Fifth Semester. Subject: VHDL Programming

!"#$%&&"'(')"*+"%,%-".#"'/"'.001$$"

Tutorial on VHDL and Verilog Applications

VHDL 3 BASIC OPERATORS AND ARCHITECTURE BODY. Design descriptions & design constructions examples are taken from foundation series examples

Hardware description language (HDL)

Verilog Module 1 Introduction and Combinational Logic

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Lecture 4. VHDL Basics. Simple Testbenches

Hardware description languages

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Embedded Systems CS - ES

ENGIN 241 Digital Systems with Lab

Multi-valued Logic. Standard Logic IEEE 1164 Type std_ulogic is ( U, uninitialized

Introduction to VHDL. Main language concepts

Design Progression With VHDL Helps Accelerate The Digital System Designs

Schematic design. Gate level design. 0 EDA (Electronic Design Assistance) 0 Classical design. 0 Computer based language

HDL. Hardware Description Languages extensively used for:

Digital Systems Design

VHDL. Chapter 1 Introduction to VHDL. Course Objectives Affected. Outline

Introduction to Verilog HDL

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Review of Digital Design with VHDL

CET ECET CET 486. C. Sisterna Spring 2003

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

Very High Speed Integrated Circuit Har dware Description Language

This Lecture. Some components (useful for the homework) Verilog HDL (will continue next lecture)

Introduction. Why Use HDL? Simulation output. Explanation

Lecture 1: VHDL Quick Start. Digital Systems Design. Fall 10, Dec 17 Lecture 1 1

A bird s eye view on VHDL!

A Brief Introduction to Verilog Hardware Definition Language (HDL)

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1

Chapter 2 Basic Logic Circuits and VHDL Description

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

Where We Are. Quick History Lesson. Lecture 8: Combinational Verilog. Specifying Circuits. Last lecture: Minimization with K!maps

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

Verilog. Verilog for Synthesis

CS232 VHDL Lecture. Types

VHDL: skaitmeninių įtaisų projektavimo kalba. 2 paskaita Pradmenys

Computer-Aided Digital System Design VHDL

Outline CPE 626. Advanced VLSI Design. Lecture 3: VHDL Recapitulation. Intro to VHDL. Intro to VHDL. Entity-Architecture Pair

ELCT 501: Digital System Design

COE 405 Design Methodology Based on VHDL

101-1 Under-Graduate Project Digital IC Design Flow

CCE 3202 Advanced Digital System Design

Digital Systems Design

INTRODUCTION TO VHDL. Lecture 5 & 6 Dr. Tayab Din Memon Assistant Professor Department of Electronic Engineering, MUET

EITF35: Introduction to Structured VLSI Design

Topics. FPGA Design EECE 277. Interconnect and Logic Elements Part 2. Laboratory Assignment #1 Save Everything!!! Guest Lecture

Lecture 8: Combinational Verilog. CSE 370, Autumn 2007 Benjamin Ylvisaker. Where We Are. Last lecture: Minimization with K!maps

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department. Entities, Architectures, and Coding.

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

Hardware Description Languages (HDLs) Verilog

The Optimization of a Design Using VHDL Concepts

32 bit Arithmetic Logical Unit (ALU) using VHDL

Digital Systems Laboratory

Verilog for Combinational Circuits

Outline. CPE/EE 422/522 Advanced Logic Design L07. Review: JK Flip-Flop Model. Review: VHDL Program Structure. Review: VHDL Models for a MUX

Mridula Allani Fall Fall

ECE 3401 Lecture 10. More on VHDL

ECE 2300 Digital Logic & Computer Organization. More Sequential Logic Verilog

Introduction to the VHDL language. VLSI Digital Design

Outline CPE 626. Advanced VLSI Design. Lecture 4: VHDL Recapitulation (Part 2) Signals. Variables. Constants. Variables vs.

PINE TRAINING ACADEMY

Hardware Modeling. VHDL Syntax. Vienna University of Technology Department of Computer Engineering ECS Group

Transcription:

Design Entry: Schematic Capture and VHDL ENG241: Digital Design Week #4 1

References Kenneth Sort, VHDL For Engineers, Prentice Hall, 2009. Peter Ashenden, The designer s guide to VHDL, 2 nd edition, Morgan Kaufmann publishers, 2002. Douglas Perry, VHDL, 3 rd Edition, McGraw Hill. Sudhakar Yalamanchili, Introductory VHDL: From Simulation to Synthesis, Prentice Hall, 2001. Sudhakar Yalamnachili, VHDL: A Starter s Guide, 2 nd Edition, Prentice Hall, 2005. 2 2

Design Entry Schematic capture What you already did in previous labs. Hardware Description Language (HDL) VHDL Verilog Electronic System Level (ESL) Higher level possible C-like and Java-like» ImpulseC, HandelC, Catapult C, Vivado HLS 3 3

Schematic Design 4 4

What is HDL? o Hardware Description Languages (HDLs) are languages used to document (model), Communicate design, simulate, and synthesize digital circuits and systems. 5 5

VHDL: Introduction VHDL is an acronym for VHSIC Hardware Description Language. VHSIC is an acronym for Very High Speed Integrated Circuits program. It was a US government sponsored program that was responsible for developing a standard HDL. VHDL supports modeling and simulation of digital systems at various levels of design abstraction. 6 6

Basic Modeling Concepts External Interface circuit Inputs A B Internal Functionality E Outputs 7 7

Basic Modeling Concepts 8 8

Basic Modeling Concepts External Interface modeled by entity VHDL construct. Port Entity name Port name entity ckt1 is port (X,Y,Z : in bit; F : out bit); end entity ckt1; Port mode VHDL port construct models data input/output. 9 9

Basic Modeling Concepts Internal Functionality modeled by architecture VHDL construct Architecture name architecture behav of ckt1 is begin F <= X or (not Y and Z); end architecture behav; Entity name 10 10

Lexical Elements Comments: - A comment line in VHDL is represented by two successive dashes - -. - A comment extends from - - to the end of the line. Identifiers: - Identifiers are names that can be given by the user. - rules: >> must start with an alphabetic letter. >> can contain alphabetic letters, decimal digits and underline character _. >> cannot end with _. >> cannot contain successive _. 11 11

Legal vs. Illegal Identifiers Valid identifiers A, X0, counter, Next_Value Invalid identifiers last@value contains illegal character 5bit_coutner starts with nonalphabetic _A0 starts with an underline A0_ ends with underline clock pulses two successive underlines 12 12

Libraries A library refers to a collection of declarations (type, entity, sub-program) and their implementations (architecture, sub-program body). The actual specification of a library varies from one simulation package to another. In VHDL we usually use the IEEE library and have to declare that at the beginning of our VHDL program. 13 13

Library: Example For standard logic (std_logic) the basic package is ieee.std_logic_1164. This package defines the values and basic logic operators for type std_logic. The declarations can be made visible in our model file by : library IEEE; Use IEEE.STD_LOGIC_1164.ALL; Library Package 14 14

std_logic type Demystified Value Meaning U X Not Initialized Forcing (Strong driven) Unknown 0 Forcing (Strong driven) 0 1 Forcing (Strong driven) 1 Z W L H High Impedance Weak (Weakly driven) Unknown Weak (Weakly driven) 0. Models a pull down. Weak (Weakly driven) 1. Models a pull up. Signals are used to connect different parts of a design. They can be thought of as wire in conventional sense. Every signal has a type. - Don't Care 15 15

Complete Program -- Library Declaration Library IEEE; Use IEEE.std_logic_1164; -- Entity Declaration Entity ckt1 is Port (X,Y,Z: in std_logic; F : out std_logic); end ckt1; -- Architecture Declaration architecture behav of ckt1 is begin F <= X or not Y and Z; end architecture behav; 16 16

VHDL Design Styles VHDL Design Styles dataflow Concurrent statements structural Components and interconnects behavioral (algorithmic) Sequential statements Registers State machines Test benches Subset most suitable for synthesis ENG241/Digital Design 17 17

Example: Concurrent Statements This circuit could be modelled as following: f <= z or w; z <= x and y; x <= not a; w <= a and b; y <= not b; ENG241/VHDL Tutorial 18 18

Bit type Bit is also a predefined enumerated type type bit is ( 0, 1 ); Operations Logical: =, /=, <, >, <=, >= Boolean:and, or, nand, nor, xor, xnor, not Shift:sll, srl, sla, sra, rol, ror 19 19

Mapping the Design onto Digilent FPGA Board -- Library Declaration Library IEEE; Use IEEE.std_logic_1164; -- Entity Declaration Entity ckt1 is Port (X,Y,Z : in std_logic; F : out std_logic); end ckt1; Synthesis Netlist -- Architecture Declaration architecture behav of ckt1 is begin F <= X or not Y and Z; end architecture behav; Download Map, Place and Route Generate Bitstream 000111010100000000011111001010101010000 010100101010101010001100101010110011000 20 20