EITF35: Introduction to Structured VLSI Design

Similar documents
Elettronica T moduli I e II

ECE484 VLSI Digital Circuits Fall Lecture 01: Introduction

ECE 261: Full Custom VLSI Design

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

More Course Information

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

INEL-6080 VLSI Systems Design

Introduction to ICs and Transistor Fundamentals

What is this class all about?

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Lecture #1. Teach you how to make sure your circuit works Do you want your transistor to be the one that screws up a 1 billion transistor chip?

Lab. Course Goals. Topics. What is VLSI design? What is an integrated circuit? VLSI Design Cycle. VLSI Design Automation

What is this class all about?

What is this class all about?

EE141- Spring 2007 Introduction to Digital Integrated Circuits

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

EE3032 Introduction to VLSI Design

CMPEN 411. Spring Lecture 01: Introduction

EE141- Spring 2002 Introduction to Digital Integrated Circuits. What is this class about?

Digital Integrated Circuits A Design Perspective. Jan M. Rabaey

Programmable Logic Devices II

VLSI Design Automation

EE141- Spring 2004 Introduction to Digital Integrated Circuits. What is this class about?

Jin-Fu Li. Department of Electrical Engineering. Jhongli, Taiwan

VLSI Design Automation

VLSI Design Automation. Maurizio Palesi

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

EE241 - Spring 2004 Advanced Digital Integrated Circuits

Hardware Modeling using Verilog Prof. Indranil Sengupta Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Introduction. Summary. Why computer architecture? Technology trends Cost issues

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 1 & 2

FPGA Based Digital Design Using Verilog HDL

101-1 Under-Graduate Project Digital IC Design Flow

ELCT 501: Digital System Design

Evolution of CAD Tools & Verilog HDL Definition

Introduction 1. GENERAL TRENDS. 1. The technology scale down DEEP SUBMICRON CMOS DESIGN

FABRICATION TECHNOLOGIES

EITF20: Computer Architecture Part1.1.1: Introduction

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Curtis Nelson. Walla Walla College. Introduction CMOS VLSI Design

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Hardware Description Languages. Introduction to VHDL

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Chapter 5: ASICs Vs. PLDs

Digital Integrated Circuits

CS310 Embedded Computer Systems. Maeng

Micro transductors 08

VLSI Design I; A. Milenkovic 1

An Introduction to Programmable Logic

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

Announcements. Advanced Digital Integrated Circuits. No office hour next Monday. Lecture 2: Scaling Trends

ECE 595Z Digital Systems Design Automation

Chapter 2. Boolean Algebra and Logic Gates

Design Methodologies and Tools. Full-Custom Design

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Practical Information

Computer Architecture!

ELCT 503: Semiconductors. Fall Lecture 01: Introduction

A VARIETY OF ICS ARE POSSIBLE DESIGNING FPGAS & ASICS. APPLICATIONS MAY USE STANDARD ICs or FPGAs/ASICs FAB FOUNDRIES COST BILLIONS

Field Programmable Gate Array

Miniaturization process technology

CIT 668: System Architecture

EITF35: Introduction to Structured VLSI Design

COE 561 Digital System Design & Synthesis Introduction

Computer Architecture

OUTLINE. System-on-Chip Design ( ) System-on-Chip Design for Embedded Systems ( ) WHAT IS A SYSTEM-ON-CHIP?

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology

Sketch A Transistor-level Schematic Of A Cmos 3-input Xor Gate

Gigascale Integration Design Challenges & Opportunities. Shekhar Borkar Circuit Research, Intel Labs October 24, 2004

Il pensiero parallelo: Una storia di innovazione aziendale

What Comes Next? Reconfigurable Nanoelectronics and Defect Tolerance. Technology Shifts. Size Matters. Ops/sec/$

Chapter 1 Overview of Digital Systems Design

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Computer Architecture (TT 2012)

ECE 459/559 Secure & Trustworthy Computer Hardware Design

CHAPTER 1 INTRODUCTION

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

Computer Architecture s Changing Definition

Evolution of Implementation Technologies. ECE 4211/5211 Rapid Prototyping with FPGAs. Gate Array Technology (IBM s) Programmable Logic

Embedded System Design

TOPIC : Verilog Synthesis examples. Module 4.3 : Verilog synthesis

E 4.20 Introduction to Digital Integrated Circuit Design

Spiral 2-8. Cell Layout

Custom computing systems

Moore s s Law, 40 years and Counting

An Overview of Standard Cell Based Digital VLSI Design

ECE 353 Lab 4. MIDI Receiver in Verilog. Professor Daniel Holcomb UMass Amherst Fall 2016

Xilinx SSI Technology Concept to Silicon Development Overview

Overview of Digital Design Methodologies

CMPE 415 Programmable Logic Devices FPGA Technology I

EE 8351 Digital Logic Circuits Ms. J.Jayaudhaya, ASP/EEE

Boolean Algebra. BME208 Logic Circuits Yalçın İŞLER

Design Methodologies. Full-Custom Design

VLSI Design Automation

Transcription:

EITF35: Introduction to Structured VLSI Design Part 1.1.2: Introduction (Digital VLSI Systems) Liang Liu liang.liu@eit.lth.se 1

Outline Why Digital? History & Roadmap Device Technology & Platforms System Representation Design Flow RTL (register transfer level) Basics 2

Digitalization Signal 2.5 V 0 V 1 1 1 0 0 0 time Clock 2.5 V 0 V Digital is an abstraction Discrete in time: Sampling Discrete in value: Quantization Digital vs. Analog time Flexibility & functionality: easier to store and manipulate information Reliability: tolerant to noise, mismatch, variations, etc. Economic: easy to design, and friendly to technology evolvement 3

Applications 4C:CCCC 17,000 (1832) Computation Communication Consumer Control 4

Coming soon 5

Outline Why Digital? Advantages Some applications History & Roadmap Device Technology & Platforms System Representation Design Flow RTL Basics 6

Brief History Transistor Evolution First Transistor Bell Labs (1947) Bardeen, Brattain, Shockley Nobel Prize (1956) Integration Evolution First Integrated circuit Jack Kilby TI (1960) Nobel Prize (2000) a body of semiconductor material... wherein all the components of the electronic circuit are completely integrated. 7

Technology Evolution Bipolar Transistor 1947,Bardeen/Bell Lab Bipolar junction transistor 1949,William Shockley Logic gate 1956,Harris Integrated circuit 1958,Kilby/Noyes Transistor transistor logic (TTL) 1962,James L. Buie High-speed Emitter-coupled logic (ECL) 1974,Masaki MOSFET (metal-oxidesemiconductor field-effect transistor ) Bipolar faces power and size limitation CMOS logic gate 1963, Wanlass PMOSFET 1970, first practical MOS IC, Calculator NMOSFET 1970, high-density storay(4k) 1972, first microprocessor(4004) 1974, 8080 microprocessor 8

Technology Evolution (cont.) 9

Moore s Law Electronics, Apr. 19, 1965 Gordon Moore (co-founder of Intel) made a prediction that semiconductor technology will double its effectiveness every 18 months LOG 2 OF THE NUMBER OF COMPONENTS PER INTEGRATED FUNCTION 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 1959 1960 1961 1962 1963 1964 1965 1966 1967 1968 1969 1970 1971 1972 1973 1974 1975 10

Intel 4004:1972 First micro-processor on a single chip 2 300 transistors 0.3 mm x 0.4 mm 4 bit words Clock: 0.108 MHz You will have the possibility to design a more powerful processor in one of our courses 11

Intel Pentium 4 (2000) 42 000 000 transistors 0.18 micron CMOS Clock: 1.5 GHz Die: 20 mm 2 Baseband ASIC of a modern mobile phone has easily 10 times more transistors. 12

SandyBridge (2009) 32 nm-64 bit 995 000 000 Transistors (23 P4) ~3.5 GHz 216 mm 2 IvyBridge (2011) 22 nm-64 bit 1.4b Transistors ~3.5 GHz 160 mm 2 13

Haswell (2013) 22 nm Tri-gate 3D transistor 1.4b Transistors ~3.5 GHz 177 mm 2 14

FinFET Gate Source Source Drain Drain Gate p - PMOS p - substrate 15

Braswell (2014) Source: Intel Skylake (2015) 14 nm Tri-gate 3D transistor (FinFET) 1.4b Transistors ~4 GHz 8MB Cache 16

On-Time 2 Year Cycles Source: Intel 17

Moore s Law: number of transistors 18

Intel Architecture 19

Moore s Law: frequency Source: CPU DB: Recording Microprocessor History 20

Architecture change due to physical limitation 21

Power Density [W/cm²] Moore s Law: power density 10000 Source: Borkar, De Intel Sun s Surface Rocket Nozzle 1000 Nuclear Reactor 100 10 8086 Hot Plate P6 i5, i7 4004 8080 286 386 Pentium proc 1 8008 8085 486 22

Architecture change due to new applications? 23

Outline Why Digital? Advantages Some applications History & Roadmap Device Technology & Platforms System Representation Design Flow RTL Basics 24

Devices General-purpose integrated circuits Microprocessors, digital signal processors, FPGA and memories Application-specific integrated circuits (ASIC) Designed for a narrow range of applications Full-custom ASIC Standard-cell ASIC Power ASIC Reconfiguring of hardware ASIC FPGA Std. Proc. DSP This Course Specialization of processor FPGA Processor Flexibility 25

Devices (heterogeneous) All Programmable SoC

Devices 27

Outline Why Digital? Advantages Some applications History & Roadmap Device Technology & Platforms System Representation Design Flow RTL Basics 28

System Representation System SoC: a CPU chip Module Gate Macro cell in a chip:alu Basic logic block:xor, nor Circuit Transistors Device Gate, source, drain This course Digital IC Design 29

View a Design in a Proper Way Intel 4004 (2.3K transistors) Full-custom Intel Haswell (1.4B transistors)? Abstraction: simplified model of a system Show the selected features accurate enough Ignore the others 30

VLSI Design Flow Evolution of circuit design (Design Hierarchy) Full-custom Design-automation Based on library cells and IPs Top-down methodology Design abstraction Black box or Model Parameter simplification Accurate enough to meet the requirement module HS65_GH_NAND2AX14 (Z, A, B); output Z; input A,B; not U1 (INTERNAL1, B) ; or #1 U2 (Z, A, INTERNAL1) ; specify (A +=> Z) = (0.1,0.1); (B -=> Z) = (0.1,0.1); endspecify endmodule // HS65_GH_NAND2AX14 31

Outline Why Digital? Advantages Some applications History & Roadmap Device Technology & Platforms System Representation Design Flow RTL Basics 32

VLSI Design Set of specification: What does the chip do? How fast does it run? How reliable will it be? How is the silicon area? How much power will it consume?? 33

VLSI Design Flow An iterative process that transfer the specification to a manufacturable chip through at least five levels of design abstraction. Specification Function, performance, definition: English Behavior Design Behavior simulation Behavior, algorithm: C/C++, SystemC, Matlab 34

VHDL Verilog Register Transfer Level Design RTL simulation Synthesis Logic Design 35 Gate-level simulation Timing analysis Power analysis

Circuit Design Custom Design Layout Physical Design Design rule checking Post layout simulation 36

Verification Verification Check whether a design meets the specification and performance goals Two aspects Functionality Performance (timing/power/area) Method of Verification Simulation Spot check: cannot verify the absence of errors Can be computation intensive Timing analysis Just check delay Formal verification Apply formal math techniques determine its property E.g, equivalence checking Hardware emulation 37

Fabrication $5Billion 38

Testing Testing is the process of detecting physical defects of a die or a package occurred at the time of manufacturing Testing and verification are different tasks. Difficult for large circuit Need to add auxiliary testing circuit in design E.g., built-in self test (BIST), scan chain etc. 39

VLSI Design Flow: Tools Algorithm Matlab RTL Simulation Modelsim,Mentor VCS,Synopsys VerilogXL,Cadence Logic Synthesis Design Compiler,Synopsys Blast Create,Magma Transistor Simulation Hspice/Starsim,Synopsys Spectra,Cadence Eldo,Mentor Mixed-Signal Simulation AMS Designer,Cadence ADMS,Mentor Saber,Synopsys Place & Route Astro,Synopsys Silicon Encounter,Cadence Blast Fusion,Magma Layout Icfb/Dracula,Cadence ICstation/Calibre,Mentor FPGA VIvado, Xilinx Quatus, Altera 40

VLSI Design Flow: Summary English Executable program HDL specification behavior registertransfer PDF Matlab/C/Pen&Paper Emacs/UltraEdit/Modelsim Logic gates Transistors Rectangles logic circuit layout Xilinx Vivado 41

Following slides should fresh up your memory 42

Overall VLSI Structure Scheduling / ordering / sequencing of operations Mapping / allocation: Variables -> {Reg1,...,RegN} Operations -> {MUL, ADD, ALU,...,} We will implement something similar in this course 43

Two Basic Digital Components (What) a b c Combinational Logic F z D Register Q Rising clock edge clk Always: z <= F(a, b, c); if clk event and clk= 1 then Q <= D; i.e. a function that is always evaluated when an input changes. Can be expressed by a truth table. i.e. a stored variable, Edge triggered D Flip-Flop with enable. 44

Timing (When) Only if we guarantee to meet the timing requirements... do the components guarantee to behave as intended. 45

Combinational Logic Timing a b c F z Propagation delay: After presenting new inputs Worst case delay before producing correct output t prop a, b, c z time f S f F 46

Register timing clk = Rising clock edge Register clk D Q D 1 2 3 clk Q 1 2 3 time Propagation delay (clk_to_q): Worst case (maximum) delay after clk before new output data is valid on Q. Setup time: Minimum time input must be stable before clk Hold time: Minimum time input must be stable after clk 47

Clock Frequency (RTL) What is the maximum clock frequency? Reg & & & Reg clk clk Register Propagation delay: Tckl-Q 250ps Setup time: Tsu 200ps Hold time: Th 100ps AND-gate Propagation delay: Tprop 250ps 250+250 3+200=1.2ns f=833mhz 48

Critical path begin to explore the construction of digital systems with complex behavior Example: K = (A + 1 B) * 1 (C + 2 D * 2 E) Combinational circuit: Critical Path 49

Thanks 50