Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

Similar documents
FinFET Technology Understanding and Productizing a New Transistor A joint whitepaper from TSMC and Synopsys

technology Leadership

Supporting Advanced-Node FinFET SoCs with 16Gbps Multi-Protocol SerDes PHY IP

Moore s Law: Alive and Well. Mark Bohr Intel Senior Fellow

Taming the Challenges of Advanced-Node Design. Tom Beckley Sr. VP of R&D, Custom IC and Signoff, Silicon Realization Group ISQED 2012 March 20, 2012

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Xilinx SSI Technology Concept to Silicon Development Overview

Synopsys Design Platform

Soitec ultra-thin SOI substrates enabling FD-SOI technology. July, 2015

DFT-3D: What it means to Design For 3DIC Test? Sanjiv Taneja Vice President, R&D Silicon Realization Group

Process Design Kit for for Flexible Hybrid Electronics (FHE-PDK)

Taming the Challenges of 20nm Custom/Analog Design

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

5.2 Technology Leadership

RTL2GDS Low Power Convergence for Chip-Package-System Designs. Aveek Sarkar VP, Technology Evangelism, ANSYS Inc.

More Course Information

CMP Model Application in RC and Timing Extraction Flow

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

An overview of standard cell based digital VLSI design

Revolutionizing RISC-V based application design possibilities with GLOBALFOUNDRIES. Gregg Bartlett Senior Vice President, CMOS Business Unit

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

CAD for VLSI. Debdeep Mukhopadhyay IIT Madras

Analog, Mixed-Signal, and Advanced-Node Custom Design Scalability, Convergence and Throughput

System-on-Chip Architecture for Mobile Applications. Sabyasachi Dey

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

Beyond Moore. Beyond Programmable Logic.

ECE520 VLSI Design. Lecture 1: Introduction to VLSI Technology. Payman Zarkesh-Ha

Stacked Silicon Interconnect Technology (SSIT)

Multi-Core Microprocessor Chips: Motivation & Challenges

SYNTHESIS FOR ADVANCED NODES

3D Integration & Packaging Challenges with through-silicon-vias (TSV)

Continuing Moore s law

An Overview of Standard Cell Based Digital VLSI Design

ECE260B CSE241A Winter Tapeout. Website:

5.2 Technology Leadership

The Evolving Semiconductor Technology Landscape and What it Means for Lithography. Scotten W. Jones President IC Knowledge LLC

On the Design of Ultra-High Density 14nm Finfet based Transistor-Level Monolithic 3D ICs

Technology & Manufacturing. Kevin Ritchie Senior vice president, Technology & Manufacturing

So you think developing an SoC needs to be complex or expensive? Think again

Microelettronica. J. M. Rabaey, "Digital integrated circuits: a design perspective" EE141 Microelettronica

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

SoC Memory Interfaces. Today and tomorrow at TSMC 2013 TSMC, Ltd

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

GF14LPP-XL AMS Reference Flow for FINFET Technology. Rajashekhar Chimmalagi Design Methodology April 5 th 2016

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Technology Platform Segmentation

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

AIM Photonics: Manufacturing Challenges for Photonic Integrated Circuits

Dr. Ajoy Bose. SoC Realization Building a Bridge to New Markets and Renewed Growth. Chairman, President & CEO Atrenta Inc.

R&D TECHNOLOGY DRIVING INNOVATION. Samsung Semiconductor, Inc. SAMSUNG SEMICONDUCTOR, INC. 1

Best Practices for Implementing ARM Cortex -A12 Processor and Mali TM -T6XX GPUs for Mid-Range Mobile SoCs.

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

Samsung System LSI Business

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

Introducing the 22FDX. 22nm FD-SOI Platform. from GLOBALFOUNDRIES

A Test-Centric Approach to ASIC Development for MEMS

Pushing the Boundaries of Moore's Law to Transition from FPGA to All Programmable Platform Ivo Bolsens, SVP & CTO Xilinx ISPD, March 2017

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Interconnect Challenges in a Many Core Compute Environment. Jerry Bautista, PhD Gen Mgr, New Business Initiatives Intel, Tech and Manuf Grp

Intel: Driving the Future of IT Technologies. Kevin C. Kahn Senior Fellow, Intel Labs Intel Corporation

EITF35: Introduction to Structured VLSI Design

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

EE586 VLSI Design. Partha Pande School of EECS Washington State University

Silicon Virtual Prototyping: The New Cockpit for Nanometer Chip Design

BCD8sP Technology Overview

TechSearch International, Inc.

Common Platform Ecosystem Enablement

UNIVERSITY OF WATERLOO

ADVANCED FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 3 & 4

Design rule illustrations for the AMI C5N process can be found at:

N E W S R E L E A S E

OpenPDK Production Value and Benchmark Results

CMPEN 411 VLSI Digital Circuits. Lecture 01: Introduction

FABRICATION TECHNOLOGIES

Beyond Soft IP Quality to Predictable Soft IP Reuse TSMC 2013 Open Innovation Platform Presented at Ecosystem Forum, 2013

E40M. MOS Transistors, CMOS Logic Circuits, and Cheap, Powerful Computers. M. Horowitz, J. Plummer, R. Howe 1

Advanced Heterogeneous Solutions for System Integration

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

WAVE ONE MAINFRAME WAVE THREE INTERNET WAVE FOUR MOBILE & CLOUD WAVE TWO PERSONAL COMPUTING & SOFTWARE Arm Limited

Design and Technology Trends

NANOIOTECH The Future of Nanotechnologies for IoT & Smart Wearables Semiconductor Technology at the Core of IoT Applications

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

Introduction. Summary. Why computer architecture? Technology trends Cost issues

Oberon M2M IoT Platform. JAN 2016

Silicon Photonics Scalable Design Framework:

AMS DESIGN METHODOLOGY

AIM Photonics Silicon Photonics PDK Overview. March 22, 2017 Brett Attaway

Introduction to ICs and Transistor Fundamentals

IPL Workshop Luncheon DAC Interoperable PDK Libraries: The Proof is in the Pudding

All Programmable: from Silicon to System

Concurrent, OA-based Mixed-signal Implementation

Advancing high performance heterogeneous integration through die stacking

Expert Layout Editor. Technical Description

Chapter 2. Perkembangan Komputer

Chapter 5: ASICs Vs. PLDs

2. TOPOLOGICAL PATTERN ANALYSIS

FPGA Based Digital Design Using Verilog HDL

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Transcription:

2013 TSMC, Ltd Collaborate to Innovate FinFET Design Ecosystem Challenges and Solutions

2 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges FinFET Ecosystem Solutions

3 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges FinFET Ecosystem Solutions

4 Future Lifestyle Trends Mobile Convergence Augmented Reality Image: FreeDigitalPhotos.net Cloud Computing Image: zh.wikipedia.org Image: public-domain-images.com Ubiquitous Connectivity Image: FreeDigitalPhotos.net Internet of Things

5 Enabling Product Requirements Mobile Convergence Augmented Reality Service availability Latency Bandwidth Battery life Connectivity Integration Battery life Form factor Cloud Computing Latency Throughput Performance/ W/ $ Service availability Bandwidth Battery life Ubiquitous Connectivity Connectivity Reliability Battery life Cost Internet of Things 2013 2011 TSMC, Ltd

6 Enabling Product Functionality Mobile Convergence Augmented Reality CMOS Image Sensor Wireless Transceiver Pico Projector Gyroscope Accelerometer Power Management CMOS Image Sensor Wireless Transceiver Power Management Accelerometer Gyroscope E-Compass Cloud Computing Power Management Wireless Transceiver Power Management Micro-controller Wireless Transceiver Power Management Ubiquitous Connectivity Internet of Things

Design Challenges 7 Design Collaboration to Reduce New Technology Challenges Low Power High K Metal Gate Double Patterning FinFET Transistor Multi-Patterning & Spacer Design Enablement To Lower Design Barriers 65nm 40nm 28nm 20nm 16nm 10nm

8 Increasing EDA Tools and Feature Sets to Enable Customer Designs Number of Supported EDA Tools and Features by Process Node DFM Custom DFM Custom Simulator IR/EM Simulator IR/EM STA Custom Simulator IR/EM STA APR RCX LVS DRC DFM Custom Simulator IR/EM STA APR RCX LVS DRC DFM Custom Simulator IR/EM STA APR RCX LVS DRC 65 nm 40nm 28nm 20nm 16nm STA APR RCX LVS DRC APR RCX LVS DRC

9 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges FinFET Ecosystem Solutions

10 An Ecosystem for Innovation Customer Product Roadmap Design Service Mask Making / OPC Process Definition EDA Enablement Design Enablement Customer Tape-out Design Productization Wafer Fabrication Backend Service IP Enablement Test Chip Validation Customer Product Launch

11 Concurrent Technology and Design -- EDA Partner Collaboration EDA Partner Development EDA Ready PDK Enablement Design Solution Definition Design Solution Development Design Solution Certification TSMC Technology Development Process Ready

12 Concurrent Technology and Design -- IP Partner Collaboration IP Partner Development IP Ready Process / IP Roadmap Alignment Enablement Kit / Training TSMC 9000 Certification MPW Silicon Validation TSMC Technology Development Process Ready

13 Concurrent Technology and Design -- Design Partner Collaboration Design Partner Development Design Ready Technology Optimization TV Plan Design Kits Foundation IP Design Guideline TV Validation Product Tapeout TSMC Technology Development Process Ready

14 Concurrent Design & Technology Readiness TSMC-Online Design rules SPICE model Tech files/pdk Design kits Utilities Ref Flow Certified EDA Tools DRC/LVS/RC DFM P&R STA/IR/EM Simulation Layout editor Certified IP Portfolio Foundation IP Interface IP Embedded CPU Embedded GPU Analog IP OTP/MTP Design Tapeout 2013 2011 TSMC, Ltd

15 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges FinFET Ecosystem Solutions

16 A look back at 50 years of Silicon Julius Blank Eugene Kleiner Robert Noyce Victor Grinich Jay Last Sheldon Roberts Jean Hoerni Gordon Moore

17 Transistor to IC First Transistor Bell Labs 1947 First IC TI 1958 First Planar IC Fairchild 1960

18 FinFET vs. Planar Characteristics FinFET Benefits Lower leakage Higher driving current Low-voltage operability Better mismatch Higher intrinsic gain FinFET Challenges Higher parasitic capacitance due to 3D profile Higher parasitic resistance due to local interconnect Quantized device widths Planar Device FinFET

19 FinFET Value Proposition ~2X gate density improvement compared to 28nm 16nmFinFET offers extra 20% speed gain @ same total power or 35% power saving @ same speed with similar gate density compared to 20nm 16FF/28HPM 16FF/20SoC Speed @ same total power 38% 20% Total power saving @ same speed 54% 35% Gate density 2X 1.1X

20 FinFET Design Enablement Requires Collaboration Among Foundry, EDA and Lead Partners Parasitic RC extraction: 3D profile support, accuracy Design methodology for low voltage operation Design methodology for interconnect resistance minimization EM reliability and power integrity: High drive current 3D

21 Agenda Lifestyle Trends Drive Product Requirements Concurrent Technology and Design Development FinFET Design Challenges FinFET Ecosystem Solutions

22 FinFET Design Flow Requirements 3D FinFET device structure Advanced SPICE modeling for 3D structure Modeling layout dependent effects in 3D structure Accurate RC extraction Higher parasitic capacitance due to 3D profile Higher parasitic resistance due to local interconnects Quantized device width due to fin pitch/grid requirements PODE device handling in designs, EDA tools and IPs High-drive current leads to EM reliability and power integrity issues

23 FinFET EDA Tool Certification Two levels of tool certification Tool certifications as the foundation of FinFET design infrastructure Integrated Tool Certification Using Cortex-A15 Tool Certifications Individual Tool Certification STA P&R DRC LVS FastSpice RC EM IR Custom Design Lib Char

24 Summary Technology advancements are driving earlier, wider and deeper ecosystem collaboration to deliver enabling design solutions TSMC s collaborative ecosystem unleashes innovations to address FinFET design challenges TSMC Open Innovation Platform has a proven record of success and is more critical than ever for 16nm and beyond