Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints

Size: px
Start display at page:

Download "Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints"

Transcription

1 Abstraction and Optimization of Consistent Floorplanning with Pillar Block Constraints Ning FU, Shigetoshi NAKATAKE, Yasuhiro TAKASHIMA, Yoji KAJITANI School of Environmental Engineering, University of Kitakyushu 1-1 Hibikino, Wakamatsu-ku, Kitakyushu-city, Fukuoka , Japan {funing, nakatake, takasima, kajitanienv.kitakyu-u.ac.jp Abstract We aim at developing floorplan method, a key in topdown design of system LSIs, and provide floorplan abstraction available in high level design. We introduce pillar blocks to represent a frame of a chip layout and propose how to evaluate the chip before the floorplanning with physical dimension. The frame by the pillar blocks is employed as constraints in optimizing block placement. The experiments to MCNC benchmarks showed that the abstraction is faithful to the physically optimized block placement with respect to the chip area and the wire-length. I. INTRODUCTION Intensive growth of market of system LSIs is requesting the rapid and robust designs such as topdown design style of good efficiency, based on usage of soft and hard macro IPs. While the high level design must steadily take physical information of the chip into consideration, since the wire delay is being dominant in the signal delay as silicon technology progressing into deep sub-micron. The physical information, that is, the chip layout is obtained by floorplanning at the early stage. The floorplanning should have a variety according to usage in each design stage, and the variety should be appropriate to abstractive objects of a circuit. This paper carries two issues: one is to abstract a floorplan so as to be available in high level design. In stage of HW/SW co-design, for example, a designer hopes to seek an optimal chip architecture from a lot of candidates. This means the floorplanning in the stage should be rapid enough to take a role as a function incorporated into architecture optimization. A trend of recent works of floorplanning [1, 2, 3, 4, 5, 6] is based on optimization by stochastic searches (e.g. simulated annealing), which usually take much time. In this point, the existing floorplannings have a fatal drawback. The other issue is to, in the physical design stage, optimize the layout consistently with the abstractive floorplan in the high level design. The lack of the consistency causes the design feedback, which results in a long term design. This paper is presenting one solution for these issues, focusing partitioning and block placement in topdown design style. An input circuit may consist of objects of variously abstractive states, but the partitions are naturally determined by the functions. Otherwise we apply a min-cut based partitioning to the circuit. Thus, partitioning information is available at whichever design stage. At first, we abstract a floorplan by using the information. The key is to introduce pillar blocks which form a frame of a chip. Pillar blocks have the following three features. (i)they exist along the cut-line of partition. (ii) They are dominant in determining the width and the height of the chip. (iii) They bring the partitioning information into the following block placement. This paper provides a heuristics (called pillar clustering) to extract pillar blocks from a circuit by looking into connections, partitions and influence on the width and the height of the chip. We also give an abstraction of floorplan induced by pillar blocks. Next, we present a way to convey the abstractive feature of floorplan to block placement. Nakatake et. al.[7] propose consistent floorplanning, which gives the concept and a way to do block placement consistently with the preceding partitioning. They are introducing super hierarchical constraints induced by the feature of the partitioning. According to the concept, the feature of pillar blocks is transferred into constraints (called pillar constraints). The constraints are represented by the ABLR-relations ( above, below, left-of, right-of ) of the pillar blocks and the other blocks. The ABLR-relations are formulated on a sequence-pair known as a rectangle packing method [2]. We implemented a prototype that is composed of pillar clustering and block placement under pillar constraints, and applied it to MCNC benchmarks. We showed the promising results: the floorplan abstraction induced by pillar blocks was almost faithful to the block placement after optimization with respect to the chip area and the wire-length. The rest of the paper is organized as follows. Section 2 is for describing the concept of consistent floorplanning presented in [7]. Section 3 is devoted to sketch the feature of pillar blocks and the floorplan abstraction. Section 4 is for describing pillar constraints and optimization under the constraints. Section 5 is devoted to the experiments to compare the abstraction with the block placement. Section 6 is for conclusions and future works. II. CONSISTENT FLOORPLANNING [7] introduces the concept consistent floorplanning on the Sequence-Pair [2] that takes the feature of the partition. The main frame is based on the understanding that circuit partitioning is always connectivity-oriented bi-partition and hierarchical, while the Sequence-Pair is a non-hierarchical datastructure of general floorplans. The idea is to convey the feature of partition into the floorplanning as a constraint. A. Sequence-Pair Based Representation Given a placement of n blocks, gridding[2] is a procedure to determine a pair of sequences (α, β), called the Sequence-Pair (SP). An equivalent description of SP is as follows. α and β are defined as the sequences satisfying the property that all blocks can be removed one after another along α (β) in the above-left (below-left) direction without touching the remaining blocks. Consider that the circuit is partitioned into four clusters, say, A, B, C, and D under the condition that each is assigned to

2 )? = > * special peripherals to touch. Then the possible cluster placements are depicted in five configurations as shown in Fig. 1 (a), (b), (c), (d) and (e). In the SP representation, each pair of clusters is given just one ABLR-relation. However, in each figure, we may observe two ABLR-relations for a pair of clusters. For example, in the figure (a), B is above C, and B is right-of C. It leads alternative SP s. The corresponding SP s are (a) (b) (c) (d) (e) α = (ABCD) or (ACBD), β = (CDAB), α = (ABCD), β = (CDAB) or (CADB), α = (ABCD) or (ACBD), β = (CDAB) or (CADB), α = (ACBD), β = (CDAB) or (CADB), α = (ABCD) or (ACBD), β = (CADB). (1) = >? Another example is: a(b cd) means (abcd), (acbd) and (acdb). To list all the constraints represented by this single formula, they are α 1 (a) < α 1 (b), α 1 (a) < α 1 (c), α 1 (a) < α 1 (d), and α 1 (c) < α 1 (d). With this notation, Eq. (1) is mapped to a formula; α = A(B C)D, β = C(D A)B. (2) This formula is called super hierarchical constraint. SP BLK satisfying the constraint is said to be feasible. Obviously, in block placement, The constraint of blocks in the same cluster X is x 1 x 2 x i. For example, given clusters as A = {a 1, a 2, B = {b 1, b 2, C = {c 1, c 2 and D = {d 1, d 2, SP BLK = (a 1 a 2 b 1 b 2 c 1 c 2 d 1 d 2, c 2 c 1 d 2 a 2 a 1 d 1 b 1 b 2 ) is feasible under (A(B C)D, C(D A)B), that is, ((a 1 a 2 )(b 1 b 2 c 1 c 2 )(d 1 d 2 ), (c 1 c 2 )(d 1 d 2 a 1 a 2 )(b 1 b 2 )). Note that the shape of cluster D is not a rectangle as shown in Fig. 2.? = > Fig. 2. An example of block placement under α = (A(B C)D), β = (C(A B)D). Fig. 1. Possible placements corresponding to four clusters A B. Super Hierarchical Constraints In a hierarchical placement system, each cluster is a mass of blocks. Given an SP of cluster placement, consider a translation from the SP to that of a block placement. Let blocks in A, B, C, and D be {a i, {b i, {c i, and {d i, respectively. SP CLT and SP BLK denote SP s of cluster and block placement, respectively. In a simple translation, the orders of blocks in SP BLK are inherited from those of clusters in SP CLT. For example, SP CLT (ABCD, CDAB) implies the SP BLK ; α = (... a i... b i... c i... d i...), β = (... c i... d i... a i... b i...). However, there is a variation of SP CLT s as shown in Eq. (1) which is induced by the circuit partitioning. Furthermore, the above translation limits shape of each cluster to be rectangle. To take such a variation of SP CLT s into consideration as well as to release shape of clusters from a rectangle, [7] introduces the super hierarchical constraint. In [7], a logical expression is introduced. The fact that a sequence is possible to be (abcd) or (acbd) is represented by the formula a(bc)d. Here, addition xy denotes a commutative relation that orders (xy) and (yx) are both feasible, while product xy non-commutative relation, that order (xy) is unique. Let us explain about the formula more in detail. Consider a sequence α. α 1 (x) is the inverse function, which returns an order of a block x in α. β 1 (x) is analogously defined. By definition, xy means α 1 (x) < α 1 (y), while x y does α 1 (x) < α 1 (y) or α 1 (x) > α 1 (y). The placement system is a heuristic search that generates feasible sequence-pairs, constructs the corresponding floorplans, evaluates them, and transforms one to another guided by simulated annealing. Then it outputs the best so far. III. PILLAR BLOCKS AND FLOORPLAN ABSTRACTION This section describes the feature of pillar blocks and the abstraction of floorplan with pillar blocks. In this paper, width and height of all blocks are fixed. A. The Feature of Pillar Blocks Given a set of blocks, four clusters A, B, C, and D are obtained by some bi-partitioning in the way such as [7]. We note that the resultant clusters and the shape of each block suggest some information of the frame of the chip layout. At first, we focus such blocks that are dominant in determining the shape of the chip. For example, a placement of 18 blocks is shown in Fig. 3. Let A = {1, 2, 3, 4, B = {5, 6, 7, a, b, C = {8, 9, c, d, D = {e, f, g, h, i. The width of this chip is determined by the length of path 3 4 a b g and the height is determined by 2 5 a c e. Next, let us consider the position of the block a which is a member of B. It is connected to 9, c, and f which belong to A, C, and D, respectively. Thus, a is expected to be located near to the cluster A, C, and D. From the observation, we focus the blocks of some features to induce the chip layout. Those blocks are called pillar blocks. Let us describe the above features in more details. Let I(x, P), called incident value, be the number of the nets such that each net connects x with a block in cluster P. In Fig. 3, I(a, A) = 0, I(a, B) = 1, I(a, C) = 2, I(a, D) = 1. A pillar block has the following three features: F1 It has higher incident value.

3 &? A # D > B % $ E C F2 It is placed around the boundaries between two clusters. F3 It exists on the semi-longest path of horizontal constraint graph or vertical constraint graph, which is used to calculate width or height of a chip, respectively. In Fig. 3, pillar blocks are 2, 3, 4, 5, a, b, c, e, and g.! " ' = Fig. 3. An example of the feature of pillar blocks in block placement Feature F1 and F2 ensure that the positions of pillar blocks induce a placement with less net length. Feature F3 ensures that the behavior of the pillar blocks dominates the size of chip. B. Extraction of Pillar Blocks In this section, it is described how to extract pillar blocks from given clusters. Assume that four clusters are given. The extension in more than four clusters may be possible, but it is omitted here for the space. First, four clusters A, B, C, and D are obtained in the similar way as [7].!!!!!! Fig. 4. Relative positions of nine clusters Next, re-configure the above four clusters into nine clusters C 11, C 21, C 31, C 12, C 22, C 32, C 13, C 23, and C 33, where the relative position of each cluster is shown in Fig. 4. Rules of the configuration are described in the following. C 22 A B C D, C 21 A B, C 23 C D, C 12 A C, C 32 B D, C 11 = A/(C 12 C 22 C 21 ), C 31 = B/(C 21 C 22 C 32 ), C 13 = C/(C 12 C 22 C 23 ), C 33 = D/(C 23 C 22 C 32 ). (3) Each cluster C i j should be configured in order to minimize the cut size. When the configuration finishes, C 12, C 21, C 22, C 23, and C 32 consist of only pillar blocks. The others do not have any pillar block. The clusters with pillar blocks are called pillar clusters. In the abstractive floorplan, the shape of each cluster is considered as a rectangle. For cluster C i j, let the width, height, area and the number of blocks be W(C i j ), H(C i j ), A(C i j ), and N(C i j ), respectively. The blocks in C 12 C 32 only have the horizontal relation to each other. And the blocks in C 21 C 23 only have the vertical relation to each other. So, the width and height of these clusters are defined as Eq.(4) H(x) W(C i2 ) = W(x) and H(C i2 ) = (i = 1, 3), N(C x C i2 x C i2 ) i2 W(x) H(C 2 j ) = H(x) and W(C 2 j ) = ( j = 1, 3). N(C x C 2 j x C 2 j ) 2 j (4) Then, it assumes that the shape of the other blocks C 22,C 11,C 13,C 31, and C 33 are square as follows W(C i j ) = H(C i j ) = A(C i j ), (5) where A(C i j ) = A(x). x C i j The width and height of each cluster must satisfy the following conditions. where max(w 1, W 2, W 3 ) min(w 1, W 2, W 3 ) δ W, max(h 1, H 2, H 3 ) min(h 1, H 2, H 3 ) δ H, W j = H i = 3 W(C i j ) ( j = 1, 2, 3), i=1 3 H(C i j ) (i = 1, 2, 3). j=1 From the observation of the above conditions, the size of each cluster can be controlled by δ W, δ H, and N(C 22 ). In this paper, those values are given as input parameters. They might be determined empirically. Next, we describe an algorithm, for the reconfiguration, called pillar clustering. procedure Pillar Clustering input : A, B, C, D, N(C 22 ), δ W, δ H output : C i j (1 i 3, 1 j 3) 1. Set C 11 = A, C 31 = B, C 13 = C, C 33 = D. 2. Sort all blocks in non-increasing order according to the sum of the incident values formulated as: I(x, Q), i f x P. Q Q P Choose N(C 22 ) blocks with the largest sum of the incident values and move these blocks to C Prepare eight lists of blocks L AB, L AC, L BA, L BD, L CA, L CD, L DB, and L DC, such that blocks of list L PQ are sorted in non-increasing order according to I(x, Q), x P. We define the operation of MOVE BLOCK from L AB (6) (7) (8)

4 to C 21 as moving the head block of L AB to C 21 and removing the block from the L AC too. Other MOVE BLOCK operations can be defined analogously. 4. Choose blocks one by one from the lists and move them to a cluster C 12, C 21, C 23 or C 32 as follows: Set the threshold K H and K W. Repeat a sub-procedure EXPAND H2W2 until max(h 1, H 2, H 3 ) min(h 1, H 2, H 3 ) δ H and max(w 1, W 2, W 3 ) min(w 1, W 2, W 3 ) δ W. If the times of repeat of expanding H2 is more than K H, then δ H = 2 δ H, so does δ W. EXPAND H2W2: if(h 2 < W 2 ){ /* increase H 2 */ if(h 1 < H 3 ){ /* decrease H 3 */ if(a(c 33 ) < A(C 31 )){ /* decrease C 31 */ MOVE BLOCK from L BA to C 21. else { /* decrease C 33 */ MOVE BLOCK from L DC to C 23. else { /* decrease H 1 */ if(a(c 13 ) < A(C 11 ){ /* decrease C 11 */ MOVE BLOCK from L AB to C 21. else { /* decrease C 13 */ MOVE BLOCK from L CD to C 23. else { /* increase W 2 */ if(w 1 < W 3 ){ /* decrease W 3 */ if(a(c 33 ) < A(C 13 )){ /* decrease C 13 */ MOVE BLOCK from L CA to C 12. else { /* decrease C 33 */ MOVE BLOCK from L DB to C 32. else { /* decrease W 1 */ if(a(c 31 < C 11 )){ /* decrease C 11 */ MOVE BLOCK from L AC to C 12. else { /* decrease C 31 */ MOVE BLOCK from L BD to C 32. Let the number of all blocks and the number of all terminals be n and p, respectively. In algorithm pillar clustering, the complexity of each step is as follows: Step 1 needs that all blocks move into one of four clusters, thus O(n). In step 2, calculation of I(x, A), I(x, B), I(x, C), and I(x, D) takes the complexity O(np). Next, sorting all blocks is done in O(n log n). Thus, in this step, the complexity is O(npn log n). In step 3, for each list of blocks L PQ, sorting the blocks in non-increasing order according to I(x, Q), x P, takes O(n log n). In step 4, the complexity of selecting the block and moving it into the appropriate cluster is O(1), and these operations are iterated at most n times. Thus, the complexity is O(n). Therefore, the total complexity is O(np n log n). C. Floorplan Abstraction The width and height of the abstractive floorplan are max(w 1, W 2, W 3 ) and max(h 1, H 2, H 3 ), respectively. Let the coordinates of the left-bottom point of the cluster P be (X(P), Y(P)). The coordinates of each cluster are as follows: X(C i1 ) = X(C i2 ) = X(C i3 ) (i = 1, 2, 3); X(C 1 j ) ( j = 1, 2, 3) = x-coordinate of the left-most of the chip. Y(C 1 j ) = Y(C 2 j ) = Y(C 3 j ) ( j = 1, 2, 3); Y(C i3 ) (i = 1, 2, 3) = y-coordinate of the bottom-most of the chip. Each block exists at the center of the belonging cluster. IV. CONSISTENT OPTIMIZATION UNDER PILLAR CONSTRAINTS A. Pillar Constraints The feature of pillar blocks described in the previous section should be conveyed into block placement. Therefore, we configure certain constraints, for block placement, which are induced by the feature and the definition of pillar blocks. We call the constraints pillar constraints, and they are represented as a set of topologies between blocks. Pillar constraints consist of the following three constraints (super hierarchical, internal boundary, and path constraint). We expect the resultant placement as shown in Fig. 5, which includes the jagged boundaries between clusters.!!!!!! Fig. 5. An expected placement with jagged shape clusters 1. Super hierarchical constraint To avoid forming clusters like in Fig. 6, super hierarchical constraint is necessary. It is defined as follows:!!!!!! Fig. 6. An unexpected placement with S -shaped clusters without super hierarchical constraint (a) α = C 11 (C 13 C 22 C 31 )C 33 (C 12 C 21 )(C 23 C 32 ) (b) β = C 13 (C 11 C 22 C 33 )C 31 (C 12 C 23 )(C 21 C 32 ) 2. Internal boundary constraint

5 (a) x is not right-of y, for x C i j, y C i1 j (i = 1, 2, j = 1, 2, 3) (b) x is not below y, for x C i j, y C i j1 (i = 1, 2, 3, j = 1, 2) 3. Path constraint (a) x is above or below y, for x, y C 21 or x, y C 23 (b) x is left-of or right-of y, for x, y C 12 or x, y C 32 The above topological constraints can be replaced with constraints in terms of sequence-pair. M α and M β introduced in [7] are available on the constraint 1 since all constraints can be seen on α and β, independently. The entry of M α, called consistency index, is defined as m α (x, y) = 1, if only order (xy) is allowed in α. m α (x, y) = 1, if only order (yx) is allowed in α. m α (x, y) = 0, otherwise. The entry of M β is analogously defined. The constrain 2 and 3 can not be checked independently. They must be checked by α and β at the same time directly. B. Implementation with Simulated Annealing As well as recent works[3, 4, 5, 6] of block placement, we adopt a simulated annealing as a stochastic search. A design of a simulated annealing is, simply to say, to provide generation of an initial solution which meets pillar constraints, and to define a move to generate one solution after another as not violating the constraints (said to be feasible). An initial solution is constructed as shown in Fig. 4, that is, the sequence-pair is: α = C 11 C 12 C 21 C 22 C 13 C 31 C 23 C 32 C 33, β = C 13 C 12 C 23 C 22 C 11 C 33 C 21 C 32 C 31. Note that blocks in C 12, C 21, C 23 and C 32 must satisfy path constraints. Then, calculate the matrix M α and M β. Make two lists (list α and list β) of block pairs whose corresponding consistency index is 0. After that, our simulated annealing uses the following three moves which are the extension of moves provided in [2]: full-exchange: Choose a pair of blocks, which exists in both list α and list β, and exchange them in both α and β; half-exchange: Choose a pair of blocks from list α or list β, and exchange them in corresponding sequence; rotation: Choose a block, which is not in pillar cluster C 12, C 21, C 23 nor C 32, and rotate it by 90 degree. For each move, all three constraints must be checked. The time complexity of this checking is O(n), where n is the number of blocks. The results with respect to the chip area and the total net length are also shown in Table I and Table II, respectively. In Table I, A abst and A place correspond to chip area evaluated by the abstractive floorplan and that by the block placement, respectively. A pair of values within each parenthesis corresponds to the width and the height of the chip. TABLE II COMPARISON W.R.T TOTAL OF NET LENGTH: N abst AND N place ARE TOTAL NET-LENGTH OF FLOORPLAN ABSTRACTION AND BLOCK PLACEMENT, RESPECTIVELY. data N abst N place (N place N abst )/N place ami33 69,234 75, ami49 771, , In the almost cases, the differences between the abstractive floorplan and the block placement are about 2%. The differences are small enough to make use of the evaluation in high level design. Fig. 7 and 9 show the abstractive chip layout of ami33 and ami49, respectively. Fig. 8 and 10 show the resultant block placements. In these figures, the shade rectangles represent pillar clusters or pillar blocks. We are convinced that our abstraction of floorplan is faithful to the block placement. Furthermore, we observed the results from a point of view of the total net-length. In the abstraction, each block is located at the center of the cluster. The total net-length is the sum of a half-perimeters of bounding boxes of nets. The results are shown in Table II. N abst and N place are corresponding to the total net-length of the abstraction and the block placement, respectively. The differences are small enough, as well as those to chip area. The results encourage us to develop our concept further. VI. CONCLUDING REMARKS We provided floorplan abstraction to evaluate a chip in high level design. In the abstraction, we introduced pillar blocks to represent a frame of a chip layout and proposed how to extract the pillar blocks. Furthermore, we defined pillar constraints induced by the pillar blocks to impose on block placement. The experiments applying the algorithm to MCNC benchmarks showed the promising results, where the abstraction is faithful to the block placement. As future works, we will develop the algorithm with pillar blocks defined more generally, apply it to larger instances, and enhance it to be able to handle instances with soft blocks. ACKNOWLEDGMENT This work was supported in parts by funds from the Japanese Ministry of ECSST via Kitakyushu and Fukuoka knowledgebased cluster projects. V. EXPERIMENTS We implemented a prototype that consists of pillar clustering and block placement under pillar constraints. We experimented to demonstrate the comparison of the floorplan abstraction and the block placement. The input circuits and parameters of pillar clustering are shown in Table I. N(C 22 ) is set to be about 6% of the number of blocks. δ W and δ H are set to be the minimal width and height of all blocks, respectively. REFERENCES [1] D. F. Wong and C. L. Liu, A New Algorithm for Floorplan Design, Proc. 23rd Design Automation Conference pp , [2] H.Murata, K.Fujiyoshi, S.Nakatake and Y.Kajitani, VLSI Module Placement Based on Rectangle-Packing by the Sequence-Pair, IEEE Trans. on Computer-Aided Design of IC s and Systems, Vol.15 No.12 pp , 1996.

6 TABLE I COMPARISON W.R.T CHIP AREA: A abst AND A place ARE CHIP AREA BY FLOORPLAN ABSTRACTION AND BY BLOCK PLACEMENT, RESPECTIVELY. data N(C 22 ) δ W δ H A abst A place (A place A abst )/A place ami ,293,112 (1,202x1,076) 1,318,149 (1,281x1,029) ami ,126,435 (7,237x5,821) 42,223,104 (7,392x5,712) Fig. 7. Abstractive floorplan of ami33 Fig. 9. Abstractive floorplan of ami49 BLK[7] BLK[18] BLK[15] BLK[24] BLK[5] BLK[14] BLK[13] BLK[17] BLK[25] BLK[20] BLK[26] BLK[21] BLK[9] BLK[0] BLK[29] BLK[23] BLK[22] BLK[12] BLK[10] BLK[32] BLK[11] BLK[8] BLK[19] BLK[3] BLK[27] BLK[16] BLK[4] BLK[2] BLK[30] BLK[1] BLK[28] BLK[31] BLK[6] BLK[46] BLK[47] BLK[4] BLK[36] BLK[3] BLK[5] BLK[45] BLK[12] BLK[10] BLK[48] BLK[35] BLK[8] BLK[6] BLK[22] BLK[21] BLK[1] BLK[2] BLK[37] BLK[39] BLK[7] BLK[40] BLK[20] BLK[38] BLK[29] BLK[11] BLK[34] BLK[19] BLK[41] BLK[13] BLK[23] BLK[33] BLK[28] BLK[42] BLK[24] BLK[18] BLK[9] BLK[0] BLK[15] Fig. 8. Block placement of ami33 BLK[32] BLK[31] BLK[26] BLK[27] BLK[17] BLK[30] BLK[25] BLK[44] BLK[16] BLK[14] BLK[43] [3] S.Nakatake, H.Murata, K.Fujiyoshi, Y.Kajitani, Module Packing Based on the BSG-Structure and IC Layout Applications, IEEE Trans. on Computer Aided Design of IC s and Systems, Vol.17, No 6, pp , [4] P.Guo, C.Cheng and T.Yoshimura, An O-Tree Representation of Non-Slicing Floorplan and Its Applications, Proc. 36th Design Automation Conference, pp , [5] K. Sakanushi and Y. Kajitani, The Quarter-State Sequence (Q-Sequence) to Represent the Floorplan and Applications to Layout Optimization, Proc. of IEEE Asia Pacific Conference on Circuits and Systems 2000, pp , Fig. 10. Block placement of ami49 Corner Block List, Proc. of Asia South Pacific Design Automation Conference [7] S. Nakatake, Y. Kubo and Y. Kajitani, Consistent Floorplanning with Superhierarchical Constraints Trans. on Computer-Aided Design of IC s and Systems, Vol.21, No.1, pp.42-49, [6] Y.Ma, S.Dong, X.Hong, Y.Cai, C.K.Cheng and J.Gu, VLSI Floorplanning with Boundary Constraints Based on

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement Chris C.N. Chu and Evangeline F.Y. Young Abstract Many previous works on floorplanning with non-rectangular modules [,,,,,,,,,,,

More information

Constraint-Driven Floorplanning based on Genetic Algorithm

Constraint-Driven Floorplanning based on Genetic Algorithm Proceedings of the 2007 WSEAS International Conference on Computer Engineering and Applications, Gold Coast, Australia, January 17-19, 2007 147 Constraint-Driven Floorplanning based on Genetic Algorithm

More information

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation*

An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* An Enhanced Perturbing Algorithm for Floorplan Design Using the O-tree Representation* Yingxin Pang Dept.ofCSE Univ. of California, San Diego La Jolla, CA 92093 ypang@cs.ucsd.edu Chung-Kuan Cheng Dept.ofCSE

More information

On the Number of Rooms in a Rectangular Solid Dissection

On the Number of Rooms in a Rectangular Solid Dissection IPSJ Journal Vol. 51 No. 3 1047 1055 (Mar. 2010) Regular Paper On the Number of Rooms in a Rectangular Solid Dissection Hidenori Ohta, 1 Toshinori Yamada 2 and Kunihiro Fujiyoshi 1 In these years, 3D-LSIs

More information

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits

Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Satisfiability Modulo Theory based Methodology for Floorplanning in VLSI Circuits Suchandra Banerjee Anand Ratna Suchismita Roy mailnmeetsuchandra@gmail.com pacific.anand17@hotmail.com suchismita27@yahoo.com

More information

Basic Idea. The routing problem is typically solved using a twostep

Basic Idea. The routing problem is typically solved using a twostep Global Routing Basic Idea The routing problem is typically solved using a twostep approach: Global Routing Define the routing regions. Generate a tentative route for each net. Each net is assigned to a

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information

Slicing Floorplan With Clustering Constraint

Slicing Floorplan With Clustering Constraint 652 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 the cluster(v) if the area of cluster(v) [ group(u; w) does not exceed the area constraint M.

More information

Tokyo Institute of Technology. Japan Advanced Institute of Science and Technology (JAIST) optimal packing with a certain guarantee.

Tokyo Institute of Technology. Japan Advanced Institute of Science and Technology (JAIST) optimal packing with a certain guarantee. Module Placement on BSG-Structure with Pre-Placed Modules and Rectilinear Modules Shigetoshi NAKATAKE y, Masahiro FURUYA z,, and Yoji KAJITANI y y Department of Electrical and Electronic Engineering Tokyo

More information

Floorplan Area Minimization using Lagrangian Relaxation

Floorplan Area Minimization using Lagrangian Relaxation Floorplan Area Minimization using Lagrangian Relaxation F.Y. Young 1, Chris C.N. Chu 2, W.S. Luk 3 and Y.C. Wong 3 1 Department of Computer Science and Engineering The Chinese University of Hong Kong New

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

The Multi-BSG: Stochastic Approach to. an Optimum Packing of Convex-Rectilinear Blocks. Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI

The Multi-BSG: Stochastic Approach to. an Optimum Packing of Convex-Rectilinear Blocks. Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI The Multi-BSG: Stochastic Approach to an Optimum Packing of Convex-Rectilinear Blocks Keishi SAKANUSHI, Shigetoshi NAKATAKE, and Yoji KAJITANI Department of Electrical and Electronic Engineering Tokyo

More information

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface.

Introduction. A very important step in physical design cycle. It is the process of arranging a set of modules on the layout surface. Placement Introduction A very important step in physical design cycle. A poor placement requires larger area. Also results in performance degradation. It is the process of arranging a set of modules on

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

Relaxed Routing Problem with Constraint Satisfaction Problem

Relaxed Routing Problem with Constraint Satisfaction Problem R3-18 SASIMI 2018 Proceedings Relaxed Routing Problem with Constraint Satisfaction Problem Saki Yamaguci Yasuhiro Takashima Department of Information and Media Engineering University of Kitakyushu Kitakyushu,

More information

A Transistor-level Symmetrical Layout Generation for Analog Device

A Transistor-level Symmetrical Layout Generation for Analog Device R2-21 SASIMI 2012 Proceedings A Transistor-level Symmetrical Layout Generation for Analog Device Bo Yang, Qing Dong, Jing Li, Shigetoshi Nakatake Department of Information and Media Engineering, The University

More information

Introduction VLSI PHYSICAL DESIGN AUTOMATION

Introduction VLSI PHYSICAL DESIGN AUTOMATION VLSI PHYSICAL DESIGN AUTOMATION PROF. INDRANIL SENGUPTA DEPARTMENT OF COMPUTER SCIENCE AND ENGINEERING Introduction Main steps in VLSI physical design 1. Partitioning and Floorplanning l 2. Placement 3.

More information

A Linear Programming-Based Algorithm for Floorplanning in VLSI Design

A Linear Programming-Based Algorithm for Floorplanning in VLSI Design 584 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 A Linear Programming-Based Algorithm for Floorplanning in VLSI Design Jae-Gon Kim and Yeong-Dae

More information

A Genetic Algorithm for VLSI Floorplanning

A Genetic Algorithm for VLSI Floorplanning A Genetic Algorithm for VLSI Floorplanning Christine L. Valenzuela (Mumford) 1 and Pearl Y. Wang 2 1 Cardiff School of Computer Science & Informatics, Cardiff University, UK. C.L.Mumford@cs.cardiff.ac.uk

More information

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence

Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Floorplan and Power/Ground Network Co-Synthesis for Fast Design Convergence Chen-Wei Liu 12 and Yao-Wen Chang 2 1 Synopsys Taiwan Limited 2 Department of Electrical Engineering National Taiwan University,

More information

Integrated Floorplanning with Buffer/Channel Insertion for Bus-Based Microprocessor Designs 1

Integrated Floorplanning with Buffer/Channel Insertion for Bus-Based Microprocessor Designs 1 Integrated Floorplanning with Buffer/ for Bus-Based Microprocessor Designs 1 Faran Rafiq Intel Microlectronics Services, 20325 NW Von Neumann Dr. AG3-318, Beaverton, OR 97006 faran.rafiq@intel.com Malgorzata

More information

CAD Algorithms. Placement and Floorplanning

CAD Algorithms. Placement and Floorplanning CAD Algorithms Placement Mohammad Tehranipoor ECE Department 4 November 2008 1 Placement and Floorplanning Layout maps the structural representation of circuit into a physical representation Physical representation:

More information

TCG-Based Multi-Bend Bus Driven Floorplanning

TCG-Based Multi-Bend Bus Driven Floorplanning TCG-Based Multi-Bend Bus Driven Floorplanning Tilen Ma Department of CSE The Chinese University of Hong Kong Shatin, N.T. Hong Kong Evangeline F.Y. Young Department of CSE The Chinese University of Hong

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 3 Chip Planning VLSI Physical Design: From Graph Partitioning to Timing Closure Original Authors: Andrew B. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to

More information

AN ACCELERATOR FOR FPGA PLACEMENT

AN ACCELERATOR FOR FPGA PLACEMENT AN ACCELERATOR FOR FPGA PLACEMENT Pritha Banerjee and Susmita Sur-Kolay * Abstract In this paper, we propose a constructive heuristic for initial placement of a given netlist of CLBs on a FPGA, in order

More information

IN TODAY S system-on-chip designs, both digital and

IN TODAY S system-on-chip designs, both digital and IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 1, JANUARY 2011 85 Simultaneous Handling of Symmetry, Common Centroid, and General Placement Constraints Qiang

More information

An Enhanced Congestion-Driven Floorplanner

An Enhanced Congestion-Driven Floorplanner An Enhanced Congestion-Driven Floorplanner Yu-Cheng Lin 1 Shin-Jia Chen 1 1 Hsin-Hsiung Huang 2 1 Dept. of Information and Electronic Commerce, Kainan University, Taoyuan, Taiwan 2 Dept. of EE., Lunghwa

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

Unit #13 : Integration to Find Areas and Volumes, Volumes of Revolution

Unit #13 : Integration to Find Areas and Volumes, Volumes of Revolution Unit #13 : Integration to Find Areas and Volumes, Volumes of Revolution Goals: Beabletoapplyaslicingapproachtoconstructintegralsforareasandvolumes. Be able to visualize surfaces generated by rotating functions

More information

1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003

1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 1120 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 6, DECEMBER 2003 Fixed-Outline Floorplanning: Enabling Hierarchical Design Saurabh N. Adya, Member, IEEE, and Igor L.

More information

A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design

A Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design Unified Method to Handle Different Kinds of Placement Constraints in Floorplan Design *Evangeline F.Y. Young **Chris C.N. Chu *M.L. Ho *Dept. of Computer Science and Engineering The Chinese University

More information

A Routing Method based on Nearest Via Assignment for 2-Layer Ball Grid Array Packages

A Routing Method based on Nearest Via Assignment for 2-Layer Ball Grid Array Packages A Routing Method based on Nearest Via Assignment for 2-Layer Ball Grid Array Packages Yoshiaki KURATA Yoichi TOMIOKA Yukihide KOHIRA Atsushi TAKAHASHI Tokyo Institute of Technology Dept. of Communications

More information

An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance

An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance An Integrated Design Algorithm for Detailed Layouts Based on the Contour Distance Jae-Gon Kim and Marc Goetschalckx School of Industrial and Systems Engineering Georgia Institute of Technology Atlanta,

More information

On Your Own. Applications. Unit 3. b. AC = 8 units y BD = 16 units C(5, 2)

On Your Own. Applications. Unit 3. b. AC = 8 units y BD = 16 units C(5, 2) Applications 1 a. If students use uniform and y scales or ZSquare, they should have a shape that looks like a kite. Using the distance formula, DC = AD = 1 and BC = BA = 0. b. AC = 8 units y BD = 16 units

More information

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L.

Unification of Partitioning, Placement and Floorplanning. Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Unification of Partitioning, Placement and Floorplanning Saurabh N. Adya, Shubhyant Chaturvedi, Jarrod A. Roy, David A. Papa, and Igor L. Markov Outline Introduction Comparisons of classical techniques

More information

[Y2] Counting and understanding number. [Y2] Counting and understanding number. [Y2] Counting and understanding number

[Y2] Counting and understanding number. [Y2] Counting and understanding number. [Y2] Counting and understanding number Medium Term Plan : Year 2 Autumn Term Block A1.a: Count on and back in 1s or 10s from a 2- digit number; write figures up to 100 Block A1.b: Begin to count up to 100 objects by grouping in 5s or 10s; estimate

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA

Wojciech P. Maly Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong Deng Department of Electrical and Computer Engineering Carnegie Mellon University 5000 Forbes Ave. Pittsburgh, PA 15213 412-268-5234

More information

ASIC Physical Design Top-Level Chip Layout

ASIC Physical Design Top-Level Chip Layout ASIC Physical Design Top-Level Chip Layout References: M. Smith, Application Specific Integrated Circuits, Chap. 16 Cadence Virtuoso User Manual Top-level IC design process Typically done before individual

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

Placement Constraints in Floorplan Design

Placement Constraints in Floorplan Design IEEE TRNSCTIONS ON VLSI SYSTEMS, 4 Placement Constraints in Floorplan Design Evangeline F.Y. Young, Chris C.N. Chu, and M.L. Ho bstract In floorplan design, it is common that a designer will want to control

More information

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS

COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS COMPARATIVE STUDY OF CIRCUIT PARTITIONING ALGORITHMS Zoltan Baruch 1, Octavian Creţ 2, Kalman Pusztai 3 1 PhD, Lecturer, Technical University of Cluj-Napoca, Romania 2 Assistant, Technical University of

More information

Hardware-Software Codesign

Hardware-Software Codesign Hardware-Software Codesign 4. System Partitioning Lothar Thiele 4-1 System Design specification system synthesis estimation SW-compilation intellectual prop. code instruction set HW-synthesis intellectual

More information

Hierarchical Low Power Consumption Technique with Location Information for Sensor Networks

Hierarchical Low Power Consumption Technique with Location Information for Sensor Networks Hierarchical Low Power Consumption Technique with Location Information for Sensor Networks Susumu Matsumae Graduate School of Science and Engineering Saga University Saga 840-8502, Japan Fukuhito Ooshita

More information

BANDWIDTH REDUCTION SCHEMES FOR MPEG-2 TO H.264 TRANSCODER DESIGN

BANDWIDTH REDUCTION SCHEMES FOR MPEG-2 TO H.264 TRANSCODER DESIGN BANDWIDTH REDUCTION SCHEMES FOR MPEG- TO H. TRANSCODER DESIGN Xianghui Wei, Wenqi You, Guifen Tian, Yan Zhuang, Takeshi Ikenaga, Satoshi Goto Graduate School of Information, Production and Systems, Waseda

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Mohawk Local Schools. 5 th Grade Math

Mohawk Local Schools. 5 th Grade Math Mohawk Local Schools Quarter 5 th Grade Math 3 Curriculum Guide Mathematical Practices 1. Make Sense of Problems and Persevere in Solving them 2. Reasoning Abstractly & Quantitatively 3. Construct Viable

More information

Mohawk Local Schools. 5 th Grade Math

Mohawk Local Schools. 5 th Grade Math Mohawk Local Schools Quarter 3 Critical Areas of Focus Being Addressed: o Fractions o Decimals o Geometry o 5 th Grade Math Curriculum Guide Mathematical Practices 1. Make Sense of Problems and Persevere

More information

On the Rectangle Escape Problem

On the Rectangle Escape Problem CCCG 2013, Waterloo, Ontario, August 8 10, 2013 On the Rectangle Escape Problem Sepehr Assadi Ehsan Emamjomeh-Zadeh Sadra Yazdanbod Hamid Zarrabi-Zadeh Abstract Motivated by a PCB routing application,

More information

SEQUENCE-PAIR BASED PLACEMENT METHOD FOR HARD/SOFT/PRE-PLACED MODULES. University of California, Berkeley, USA.

SEQUENCE-PAIR BASED PLACEMENT METHOD FOR HARD/SOFT/PRE-PLACED MODULES. University of California, Berkeley, USA. SEQUENCE-PAIR BASED PLACEMENT METHOD FOR HARD/SOFT/PRE-PLACED MODULES Hiroshi Murata Ernest S. Kuh Department of Electrical Engineering and Computer Science University of California, Berkeley, USA murata@eecs.berkeley.edu

More information

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis

Physical Design Implementation for 3D IC Methodology and Tools. Dave Noice Vassilios Gerousis I NVENTIVE Physical Design Implementation for 3D IC Methodology and Tools Dave Noice Vassilios Gerousis Outline 3D IC Physical components Modeling 3D IC Stack Configuration Physical Design With TSV Summary

More information

L14 - Placement and Routing

L14 - Placement and Routing L14 - Placement and Routing Ajay Joshi Massachusetts Institute of Technology RTL design flow HDL RTL Synthesis manual design Library/ module generators netlist Logic optimization a b 0 1 s d clk q netlist

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents FPGA Technology Programmable logic Cell (PLC) Mux-based cells Look up table PLA

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

Fast Wire Length Estimation by Net Bundling for Block Placement

Fast Wire Length Estimation by Net Bundling for Block Placement Fast Wire Length Estimation by Net Bundling for Block Placement Tan Yan Hiroshi Murata Faculty of Environmental Engineering The University of Kitakyushu Kitakyushu, Fukuoka 808-0135, Japan {yantan, hmurata}@env.kitakyu-u.ac.jp

More information

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing Qiang Ma Hui Kong Martin D. F. Wong Evangeline F. Y. Young Department of Electrical and Computer Engineering,

More information

CAD Algorithms. Circuit Partitioning

CAD Algorithms. Circuit Partitioning CAD Algorithms Partitioning Mohammad Tehranipoor ECE Department 13 October 2008 1 Circuit Partitioning Partitioning: The process of decomposing a circuit/system into smaller subcircuits/subsystems, which

More information

Plot four points A, B, C and D on the grid to make a rectangle ABCD of length 6 cm and width 4 cm. (2)

Plot four points A, B, C and D on the grid to make a rectangle ABCD of length 6 cm and width 4 cm. (2) Q1. (a) Here is a centimetre grid. Plot four points A, B, C and D on the grid to make a rectangle ABCD of length 6 cm and width 4 cm. (2) (b) Tick whether each statement is always true, sometimes true

More information

Dawn of Computer-aided Design - from Graph-theory to Place and Route

Dawn of Computer-aided Design - from Graph-theory to Place and Route Tribute to Professor Yoji Kajitani Dawn of Computer-aided Design - from Graph-theory to Place and Route Atsushi Takahashi Tokyo Institute of Technology Atsushi Takahashi Tokyo Institute of Technology B.E.

More information

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits

Circuit Model for Interconnect Crosstalk Noise Estimation in High Speed Integrated Circuits Advance in Electronic and Electric Engineering. ISSN 2231-1297, Volume 3, Number 8 (2013), pp. 907-912 Research India Publications http://www.ripublication.com/aeee.htm Circuit Model for Interconnect Crosstalk

More information

Abstract We proved in this paper that 14 triangles are necessary to triangulate a square with every angle no more than 72, answering an unsolved probl

Abstract We proved in this paper that 14 triangles are necessary to triangulate a square with every angle no more than 72, answering an unsolved probl Acute Triangulation of Rectangles Yibin Zhang Hangzhou Foreign Languages School Xiaoyang Sun Hangzhou Foreign Languages School Zhiyuan Fan Hangzhou Xuejun High School 1 Advisor Dongbo Lu Hangzhou Foreign

More information

D-Optimal Designs. Chapter 888. Introduction. D-Optimal Design Overview

D-Optimal Designs. Chapter 888. Introduction. D-Optimal Design Overview Chapter 888 Introduction This procedure generates D-optimal designs for multi-factor experiments with both quantitative and qualitative factors. The factors can have a mixed number of levels. For example,

More information

5.OA.1 5.OA.2. The Common Core Institute

5.OA.1 5.OA.2. The Common Core Institute Operations and Algebraic Thinking The Common Core Institute Cluster: Write and interpret numerical expressions. 5.OA.1: Use parentheses, brackets, or braces in numerical expressions, and evaluate expressions

More information

A NEW MILP APPROACH FOR THE FACILITY LAYOUT DESIGN PROBLEM WITH RECTANGULAR AND L/T SHAPED DEPARTMENTS

A NEW MILP APPROACH FOR THE FACILITY LAYOUT DESIGN PROBLEM WITH RECTANGULAR AND L/T SHAPED DEPARTMENTS A NEW MILP APPROACH FOR THE FACILITY LAYOUT DESIGN PROBLEM WITH RECTANGULAR AND L/T SHAPED DEPARTMENTS Yossi Bukchin Michal Tzur Dept. of Industrial Engineering, Tel Aviv University, ISRAEL Abstract In

More information

Mapping Common Core State Standard Clusters and. Ohio Grade Level Indicator. Grade 5 Mathematics

Mapping Common Core State Standard Clusters and. Ohio Grade Level Indicator. Grade 5 Mathematics Mapping Common Core State Clusters and Ohio s Grade Level Indicators: Grade 5 Mathematics Operations and Algebraic Thinking: Write and interpret numerical expressions. Operations and Algebraic Thinking:

More information

Multi-Stack Boundary Labeling Problems

Multi-Stack Boundary Labeling Problems Multi-Stack Boundary Labeling Problems Michael A. Bekos 1, Michael Kaufmann 2, Katerina Potika 1, and Antonios Symvonis 1 1 National Technical University of Athens, School of Applied Mathematical & Physical

More information

6 Mathematics Curriculum

6 Mathematics Curriculum New York State Common Core 6 Mathematics Curriculum GRADE GRADE 6 MODULE 5 Table of Contents 1 Area, Surface Area, and Volume Problems... 3 Topic A: Area of Triangles, Quadrilaterals, and Polygons (6.G.A.1)...

More information

5 Mathematics Curriculum. Module Overview... i. Topic A: Concepts of Volume... 5.A.1

5 Mathematics Curriculum. Module Overview... i. Topic A: Concepts of Volume... 5.A.1 5 Mathematics Curriculum G R A D E Table of Contents GRADE 5 MODULE 5 Addition and Multiplication with Volume and Area GRADE 5 MODULE 5 Module Overview... i Topic A: Concepts of Volume... 5.A.1 Topic B:

More information

Edge Equalized Treemaps

Edge Equalized Treemaps Edge Equalized Treemaps Aimi Kobayashi Department of Computer Science University of Tsukuba Ibaraki, Japan kobayashi@iplab.cs.tsukuba.ac.jp Kazuo Misue Faculty of Engineering, Information and Systems University

More information

Geometric Modeling. Mesh Decimation. Mesh Decimation. Applications. Copyright 2010 Gotsman, Pauly Page 1. Oversampled 3D scan data

Geometric Modeling. Mesh Decimation. Mesh Decimation. Applications. Copyright 2010 Gotsman, Pauly Page 1. Oversampled 3D scan data Applications Oversampled 3D scan data ~150k triangles ~80k triangles 2 Copyright 2010 Gotsman, Pauly Page 1 Applications Overtessellation: E.g. iso-surface extraction 3 Applications Multi-resolution hierarchies

More information

Heinemann is a registered trademark of Pearson Education Limited

Heinemann is a registered trademark of Pearson Education Limited Heinemann Teaching and Learning Software and Matching Charts s Y5/P6 Introduction The Heinemann allows you to add an interactive dimension to your maths lessons, making the of key mathematical concepts

More information

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement

Non-Rectangular Shaping and Sizing of Soft Modules for Floorplan Design Improvement on-rectangular Shaping and Sizing of Soft Modules for Floorplan esign Improvement STRT Many previous works on rectilinear block packing [; ; ; ; ; ; ; ; ; ; ; ] assume that some input modules are pre-designated

More information

PLAYOUT - A Hierarchical Layout System

PLAYOUT - A Hierarchical Layout System PLAYOUT - A Hierarchical Layout System Bernd Schürmann Gerhard Zimmermann University of Kaiserslautern D-67653 Kaiserslautern 1. Introduction Very Large Scale Integration (VLSI) has become a technology

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

Applications. Foreground / background segmentation Finding skin-colored regions. Finding the moving objects. Intelligent scissors

Applications. Foreground / background segmentation Finding skin-colored regions. Finding the moving objects. Intelligent scissors Segmentation I Goal Separate image into coherent regions Berkeley segmentation database: http://www.eecs.berkeley.edu/research/projects/cs/vision/grouping/segbench/ Slide by L. Lazebnik Applications Intelligent

More information

How Computers Work. Processor and Main Memory. Roger Young

How Computers Work. Processor and Main Memory. Roger Young How Computers Work Processor and Main Memory Roger Young Copyright 2001, Roger Stephen Young All rights reserved. No part of this book may be reproduced, stored in a retrieval system, or transmitted by

More information

DISTANCE FORMULA: to find length or distance =( ) +( )

DISTANCE FORMULA: to find length or distance =( ) +( ) MATHEMATICS ANALYTICAL GEOMETRY DISTANCE FORMULA: to find length or distance =( ) +( ) A. TRIANGLES: Distance formula is used to show PERIMETER: sum of all the sides Scalene triangle: 3 unequal sides Isosceles

More information

Genetic Algorithm for Circuit Partitioning

Genetic Algorithm for Circuit Partitioning Genetic Algorithm for Circuit Partitioning ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

CHAPTER 12 HERON S FORMULA Introduction

CHAPTER 12 HERON S FORMULA Introduction CHAPTER 1 HERON S FORMULA 1.1 Introduction You have studied in earlier classes about figures of different shapes such as squares, rectangles, triangles and quadrilaterals. You have also calculated perimeters

More information

Ruled Based Approach for Scheduling Flow-shop and Job-shop Problems

Ruled Based Approach for Scheduling Flow-shop and Job-shop Problems Ruled Based Approach for Scheduling Flow-shop and Job-shop Problems Mohammad Komaki, Shaya Sheikh, Behnam Malakooti Case Western Reserve University Systems Engineering Email: komakighorban@gmail.com Abstract

More information

a a= a a =a a 1 =1 Division turned out to be equivalent to multiplication: a b= a b =a 1 b

a a= a a =a a 1 =1 Division turned out to be equivalent to multiplication: a b= a b =a 1 b MATH 245 Extra Effort ( points) My assistant read through my first draft, got half a page in, and skipped to the end. So I will save you the flipping. Here is the assignment. Do just one of them. All the

More information

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation

Design Compiler Graphical Create a Better Starting Point for Faster Physical Implementation Datasheet Create a Better Starting Point for Faster Physical Implementation Overview Continuing the trend of delivering innovative synthesis technology, Design Compiler Graphical streamlines the flow for

More information

A Task Scheduling Method for Data Intensive Jobs in Multicore Distributed System

A Task Scheduling Method for Data Intensive Jobs in Multicore Distributed System 第一工業大学研究報告第 27 号 (2015)pp.13-17 13 A Task Scheduling Method for Data Intensive Jobs in Multicore Distributed System Kazuo Hajikano* 1 Hidehiro Kanemitsu* 2 Moo Wan Kim* 3 *1 Department of Information Technology

More information

Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints

Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints Makoto Sugihara, Kazuaki Murakami and Yusuke Matsunaga Institute of Systems & Information Technologies/KYUSHU

More information

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM

A GENETIC ALGORITHM BASED APPROACH TO SOLVE VLSI FLOORPLANNING PROBLEM International Journal of Computer Engineering & Technology (IJCET) Volume 9, Issue 6, November-December2018, pp. 46 54, Article ID: IJCET_09_06_006 Available online at http://www.iaeme.com/ijcet/issues.asp?jtype=ijcet&vtype=9&itype=6

More information

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004

Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Genetic Placement: Genie Algorithm Way Sern Shong ECE556 Final Project Fall 2004 Introduction Overview One of the principle problems in VLSI chip design is the layout problem. The layout problem is complex

More information

Semi-Supervised Clustering with Partial Background Information

Semi-Supervised Clustering with Partial Background Information Semi-Supervised Clustering with Partial Background Information Jing Gao Pang-Ning Tan Haibin Cheng Abstract Incorporating background knowledge into unsupervised clustering algorithms has been the subject

More information

Encoding Techniques in Genetic Algorithms

Encoding Techniques in Genetic Algorithms Encoding Techniques in Genetic Algorithms Debasis Samanta Indian Institute of Technology Kharagpur dsamanta@iitkgp.ac.in 01.03.2016 Debasis Samanta (IIT Kharagpur) Soft Computing Applications 01.03.2016

More information

Moore Catholic High School Math Department

Moore Catholic High School Math Department Moore Catholic High School Math Department Geometry Vocabulary The following is a list of terms and properties which are necessary for success in a Geometry class. You will be tested on these terms during

More information

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms

ECE 5745 Complex Digital ASIC Design Topic 13: Physical Design Automation Algorithms ECE 7 Complex Digital ASIC Design Topic : Physical Design Automation Algorithms Christopher atten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece7

More information

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology

LSN 4 Boolean Algebra & Logic Simplification. ECT 224 Digital Computer Fundamentals. Department of Engineering Technology LSN 4 Boolean Algebra & Logic Simplification Department of Engineering Technology LSN 4 Key Terms Variable: a symbol used to represent a logic quantity Compliment: the inverse of a variable Literal: a

More information

Full-chip Routing Optimization with RLC Crosstalk Budgeting

Full-chip Routing Optimization with RLC Crosstalk Budgeting 1 Full-chip Routing Optimization with RLC Crosstalk Budgeting Jinjun Xiong, Lei He, Member, IEEE ABSTRACT Existing layout optimization methods for RLC crosstalk reduction assume a set of interconnects

More information

Genetic Algorithm for FPGA Placement

Genetic Algorithm for FPGA Placement Genetic Algorithm for FPGA Placement Zoltan Baruch, Octavian Creţ, and Horia Giurgiu Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs

FastPlace 2.0: An Efficient Analytical Placer for Mixed- Mode Designs FastPlace.0: An Efficient Analytical Placer for Mixed- Mode Designs Natarajan Viswanathan Min Pan Chris Chu Iowa State University ASP-DAC 006 Work supported by SRC under Task ID: 106.001 Mixed-Mode Placement

More information

APPROXIMATING THE MAXMIN AND MINMAX AREA TRIANGULATIONS USING ANGULAR CONSTRAINTS. J. Mark Keil, Tzvetalin S. Vassilev

APPROXIMATING THE MAXMIN AND MINMAX AREA TRIANGULATIONS USING ANGULAR CONSTRAINTS. J. Mark Keil, Tzvetalin S. Vassilev Serdica J. Computing 4 00, 3 334 APPROXIMATING THE MAXMIN AND MINMAX AREA TRIANGULATIONS USING ANGULAR CONSTRAINTS J. Mark Keil, Tzvetalin S. Vassilev Abstract. We consider sets of points in the two-dimensional

More information

Let a line l and a point P not lying on it be given. By using properties of a transversal and parallel lines, a line which passes through the point P

Let a line l and a point P not lying on it be given. By using properties of a transversal and parallel lines, a line which passes through the point P Let a line l and a point P not lying on it be given. By using properties of a transversal and parallel lines, a line which passes through the point P and parallel to l, can be drawn. A triangle can be

More information

Two Dimensional Microwave Imaging Using a Divide and Unite Algorithm

Two Dimensional Microwave Imaging Using a Divide and Unite Algorithm Two Dimensional Microwave Imaging Using a Divide and Unite Algorithm Disha Shur 1, K. Yaswanth 2, and Uday K. Khankhoje 2 1 Indian Institute of Engineering Science and Technology, Shibpur, India 2 Indian

More information

Medium Term Plan Year 4

Medium Term Plan Year 4 Wk Unit Strands Objectives 1 Block B2.c: Classify and sketch 2D shapes according to the concept of symmetry Rehearse the concept of line symmetry Classify polygons according to their lines of symmetry

More information

Gate Level Minimization Map Method

Gate Level Minimization Map Method Gate Level Minimization Map Method Complexity of hardware implementation is directly related to the complexity of the algebraic expression Truth table representation of a function is unique Algebraically

More information

GENERALISED GEOMETRY

GENERALISED GEOMETRY GENERALISED GEOMETRY INTRODUCTION Generalised Geometry, which we will discuss in the chapters that follow, is a «New Geometry» that emerged from the need to broaden certain parts of Euclidean Geometry,

More information