Probability-Based Approach to Rectilinear Steiner Tree Problems

Size: px
Start display at page:

Download "Probability-Based Approach to Rectilinear Steiner Tree Problems"

Transcription

1 836 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Probability-Based Approach to Rectilinear Steiner Tree Problems Chunhong Chen, Member, IEEE, Jiang Zhao, Majid Ahmadi, Fellow, IEEE Abstract The rectilinear Steiner tree (RST) problem is of essential importance to the automatic interconnect optimization for VLSI design. In this paper, we present a class of probability-based approaches toward the best solutions under statistical sense show their performance in comparison with the state-of-the-art algorithm. Experiments conducted on both small- large-size problems indicate that the proposed approaches lead to promising results in terms of wire length /or CPU time. The potential advantages with our technique are also discussed for further applications. Index Terms Algorithm, probabilistic approach, rectilinear Steiner tree (RST), VLSI interconnect optimization. I. INTRODUCTION ONE OF THE key problems in VLSI interconnect design is the topology construction of signal nets with minimum cost. The Steiner tree problem is to find the tree structure that connects all pins of a signal net such that the wire length (i.e., cost) can be minimized. If all edges of the tree are restricted to the horizontal vertical directions which are a popular case in VLSI design, the problem is called the rectilinear Steiner tree (RST). In general, the RST may contain, in addition to the pins of the net, some other points (i.e., Steiner points). In particular, the RST without Steiner points is called the rectilinear minimum spanning tree (RMST) which has been well studied [1]. While the RST can generally lead to better results than the RMST in terms of wire length, it has been shown that the RST problem is NP-complete [2]. Numerous heuristics have been studied toward the optimal or near-optimal solutions (e.g., [3] [16]). For instance, Hanan [3] showed an optimal algorithm when the net contains no more than four pins. Cohoon [4] proposed an optimal algorithm when the pins of a net lie on the perimeter of a rectangle. Hwang [6] proved that the ratio of tree lengths between RMST RST is no worse than 3/2. An algorithm for the RST was also proposed in [5], while the results were far from the optimal solution. One of the well-known algorithms with good performance is the Batched Iterated 1-Steiner (BI1S) heuristic due to Kahng Robins [13]. Its improved version can be found in [17]. More recently, while another heuristic for the RST was presented [11], Warme [15] described an exact solution to large-size Steiner tree problems. Instead of mentioning all previous works, we can divide Manuscript received July 9, 2001; revised May 2, This work was supported in part by the Natural Sciences Engineering Research Council of Canada (NSERC) under Grant The authors are with the Department of Electrical Computer Engineering, University of Windsor, Windsor, ON N9B 3P4, Canada ( cchen@uwindsor.ca; zhaog@uwindsor.ca; ahmadi@uwindsor.ca). Digital Object Identifier /TVLSI them into the following three categories: minimal-spanning-tree (MST) based, computational-geometry based iterative-improvement based approaches. A good survey on Steiner tree problems can be found in [7] [16]. Also, the readers are referred to [10] for a comprehensive review of VLSI interconnect design. In this paper, we propose probability-based approaches for RST problems. By considering all possible topologic patterns connecting every pair of pins, we can calculate the probability of the patterns passing over individual edges. The optimal Steiner tree under statistical sense is the tree with maximum sum of the probabilities for all edges of which the tree is comprised. We combine the probabilistic model with other algorithms to improve their performance (i.e., the time complexity wire length which are major concerns in Steiner tree designs). We also develop a nondeterministic algorithm based on probabilistic model to provide the tradeoff between the running speed the quality of results. Experiment shows that the obtained tree topology is very close to the optimal RST. For large-size problems, the probability-based algorithms are significantly faster than the state-of-the-art algorithm with a slight loss of quality. For small-size problems where the running time is not a big issue, the better solutions can be obtained using our nondeterministic algorithm (see Section III) at the cost of increased running time. As will be seen later, an added feature of our probability-based technique is that it can be easily combined with many existing algorithms to improve their performance. Also, it would be straightforward to extend this technique to deal with more general Steiner tree problems with the obstacles or blockages [8]. In the next section, we first describe some background together with the probabilistic model. In Section III, we present the Steiner tree construction algorithm other probabilitybased algorithms. Then, the results from extensive experiments are given in Section IV, where comparison with previous works is emphasized in terms of both wire length CPU time. Finally, Section V concludes the paper. II. PROBABILISTIC MODEL Here, we introduce some preliminaries propose a probabilistic model for RSTs. A. Grid Graph Consider a set of points (or pins), in a plane, where the location of is denoted by ( ). Assuming for (More discussions will be given later if this is not the case), we can construct a grid /02$ IEEE

2 CHEN et al.: PROBABILITY-BASED APPROACH TO RST PROBLEMS 837 Fig. 1. The grid graph for a set of three points. Fig. 3. Probabilistic analysis for the segments through which the shortest paths between points (p p ) pass. Fig. 2. An optimal Steiner tree of Fig. 1. graph which consists of the intersections (or, segments) of horizontal vertical lines through all points. It was shown [3] that only those segments within the smallest rectangle enclosing all points need to be considered in obtaining the RST. An optimal RST is a subset of segments,, such that is a tree for given points the total wire length over all segments in is minimum. Fig. 1 illustrates the grid graph for a set of three points,. An optimal Steiner tree of Fig. 1 is shown in Fig. 2, where is a Steiner point. If we number the columns rows of the grid graph, the symbol can be used to represent the horizontal segment which lies on row between columns. Similarly, we use to represent the vertical segment which lies on column between rows. For instance, the segments in Fig. 1 are denoted by, respectively. Note that the rows are numbered from the bottom to the top in the graph the columns are numbered from the left to the right, as shown in Fig. 1. For convenience of further discussion, we have the following definitions. Definition 1: Given two points,, the value is called the horizontal grid-distance between them, where are the column numbers of, respectively. Similarly, the vertical grid-distance between them is defined to be, where are the row numbers of, respectively. Definition 2: If for the two points,, then is called the th horizontal physical-length of the grid graph, where. If, then is called the th vertical physicallength of the graph, where. B. Probabilistic Model Consider two points, in the grid graph as shown in Fig. 3. Without loss of generality, we assume. Let. The horizontal vertical grid-distances between are, respectively. Let be the number of all possible shortest paths from to. The number of those paths which pass through the segment (i.e., in Fig. 3) only depends on is denoted by. The number of those paths which pass through the segment (i.e., in Fig. 3) is also a function of, denoted by. Obviously, we have. In particular, for any positive integer, wehave. From a statistical point of view, the probability of a shortest path between the two points passing through (or, )isgiven by (or, ). From Fig. 3, can be written as or (1) (2) (3) (4) Theorem 1: If we define for any integer, then can be computed recursively as follows: where,,, are defined as earlier.

3 838 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 Proof: The second part of the theorem is straightforward we prove the first part only. Adding (3) (4) gives TABLE I F (m; n) FUNCTION (5) or (6) From (1) (5), we have (7) TABLE II G(m; n) FUNCTION Since, (7) can be rewritten as (8) From (6) (8), we have (9) Furthermore, from Fig. 3, we can express as follows: Fig. 4. The graphic representations for F (m; n) log F (m; n). In other words, we have proved the following theorem: Theorem 2: The recursive expressions for are given by (12) More generally, we have (13) (10) The values of for, are shown in Table I Table II, respectively. Fig. 4 shows the graphic representations for. Similarly, can be expressed as (11) C. Probability Matrix To calculate the probabilities of segments in Fig. 3, we take the specific horizontal segment in the figure, where,. Among all shortest paths

4 CHEN et al.: PROBABILITY-BASED APPROACH TO RST PROBLEMS 839 from to, the number of paths passing through this segment is given by Thus, the probability of a shortest path passing through this segment is Similarly, the probability of a shortest path between passing through the specific vertical segment (see Fig. 3) is given by (14) (15) where. If we account for the shortest paths for all pairs of points in the grid graph, two probability matrices, (denoted by ), can be used to represent the probabilities of all horizontal vertical segments, respectively, through which the shortest paths would pass. The element in (or in ) corresponds to the horizontal (or vertical) segment (or ) in the graph. is an matrix is an matrix. The contribution of each pair of points to the matrices is determined by the (14) (15). Intuitively, the greater value of an element implies higher probability that the corresponding segment is to be chosen in obtaining a shortest path (or Steiner tree). An optimal tree under statistical sense is the tree in which the sum of probabilities of the segments involved is maximum. III. ALGORITHMS Based on the above probabilistic model, we present several algorithms below for Steiner tree construction: pure probabilistic, MST combined nondeterministic algorithms. The basic idea of the pure probabilistic algorithm is to select, step by step, the segments with higher probability from the probability matrices until a Steiner tree is constructed. As its name implies, the Minimal-Spanning-Tree (MST) combined algorithm is to join the probabilistic model with MST only consider the ( ) MST edges (compared to pairs of points in the pure probabilistic algorithm) for calculating the probability matrices. The segment selection for Steiner tree construction is the same as in the pure probabilistic algorithm, i.e., choosing the segments one by one in decreasing order of their corresponding probabilities. Differing from these two heuristics that are deterministic, nondeterministic algorithm constructs the tree more romly by generating a group of segments whose appearing probabilities are equal to the corresponding values in the probability matrices. For a given problem, the results may vary each time the algorithm is run on it. The more time the algorithm is executed, the higher probability there is to get the optimal result. A. Pure Probabilistic Algorithm The pseudocode of pure probability algorithm is given. Pure Probabilistic Algorithm 1. Compute,, : Given, compute the row number column number for compute the horizontal vertical physical-lengths, i.e., for ; 2. Compute : Compute for ; 3. Obtain : Obtain the probability matrices,, using (14) (15) for all pairs of points normalize all elements of :, 4. Get all points in connected: Select the vertical horizontal segments one by one in the decreasing order of their corresponding probabilities in. Ignore a specific segment if selecting it would lead to a cycle that contradicts the tree definition; 5. Delete redundant segments: Delete degree-one-segments that are not connected to any point in ; 6. Obtain a set of Steiner points calculate wire length: Obtain, which is a set of degree-tree degree-four-points (except those in ) calculate the total wire length of the tree. Most of the above algorithm is selfexplanatory except Step 3 where the matrix normalization is necessary since the segments with same probability need to be treated differently, depending on their physical lengths. The shorter segment is selected first in the tree construction so that the total wire length can be reduced. If there are points with the same -coordinate, i.e.,, which implies that no horizontal segments in the th column are required, then we delete the th column of (instead of dividing it by as shown in Step 3). Similarly, the th row of will be deleted if some points have the same -coordinate, i.e.,, meaning that no vertical segments in the -th row are needed. The time complexity of the earlier algorithm is due to Step 3 which is computationally expensive. To improve the efficiency, we introduce the MST combined algorithm later. B. MST Combined Algorithm The MST combined algorithm modifies Steps 2 3 in the pure probabilistic algorithm. First, it uses Kruskal s algorithm [12] to construct the minimal spanning tree for obtain a ;

5 840 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 set of ( ) edges,. Then, the maximal vertical grid-distance maximal horizontal grid-distance are calculated, where are the maximum of, respectively, for any edge. This is followed by computation of for. Finally, the probability matrices,, are obtained using (14) (15) for all ( ) pairs of points in performing the matrix normalization as shown before. The rest of the algorithm is the same as in the pure probabilistic algorithm. It should be noted that while the MST construction requires time, only ( ) pairs of points need to be considered. This reduces the time complexity from to. In addition, our experiments show that are normally less than 10, indicating an efficient computation of even for large design problems. Therefore, the MST combined algorithm is much faster than the pure probabilistic algorithm. C. Nondeterministic Algorithm The nondeterministic algorithm only modifies the segment selection rule, i.e., Step 4 in the pure probabilistic algorithm, by generating the segments based on their probability values. Assuming that the sum of all entries in the two probability matrices (i.e., ) is, the probability that a segment is to be generated each time is equal to its corresponding value in the matrix divided by. The segments with higher values in the matrices are more likely to be chosen from a probabilistic point of view. The other steps involved are the same as in the pure probabilistic algorithm. Once a Steiner tree is constructed, we say that one pass is completed. The wire length for each pass is recorded compared with the best result from the previous passes. The best result is updated if a shorter wire length is achieved. This process repeats for a given number of passes. Therefore, the algorithm provides the tradeoff between the running time result quality. IV. EXPERIMENT AND DISCUSSION We implemented the proposed algorithms carried out the experiments with Steiner tree construction for problems of various sizes. In order to evaluate the performance, we also implemented the BI1S algorithm [17] which is one of the heuristics with good performance. The programs were run on a SunBlade 1000 workstation. As the first set of experiments, Figs. 5 7 show the results for several simple examples using the pure probabilistic algorithm presented in Section III. While the optimal RST is unknown in general, the effectiveness of the algorithm can still be evidenced by inspection of these small-size problems. Particularly, for the case of Fig. 7 which was taken from [5], the result due to the algorithm of [5] is shown in Fig. 7(a) with the total wire length of 32, compared to the length of only 30 by our algorithm as shown in Fig. 7(b). In the following, we use Fig. 7 to demonstrate the procedure of pure probabilistic algorithm. From Step 1 of the algorithm, we obtain four vectors that denote the row number, column number, horizontal physical-length vertical physical-length. They are respectively:,, Fig. 5. The result on an example with N = 5 using pure probabilistic algotithm. Fig. 6. The result on an example with N =11 using pure probabilistic algorithm. (Note that replacing R C with R can lead to a better result.) Fig. 7. Comparison of the results by (a) the algorithm from [5] (b) pure probabilistic algorithm on an example with N =6.. From Step 2, both are calculated, as shown in Tables I II. After performing Step 3, we have the following probability matrices: Then, Steps 4 through 6 of the algorithm generate the Steiner tree

6 CHEN et al.: PROBABILITY-BASED APPROACH TO RST PROBLEMS 841 TABLE III COMPARISON OF DIFFERENT ALGORITHMS ON THREE SMALL-SIZE EXAMPLES as shown in Fig. 7(b), which turns out to be an optimal RST. While the proposed algorithm produces the promising results, it is generally not optimal. In Fig. 6, for instance, a better solution could be found by replacing the segments with the segment (shown as the dotted line). For comparison, the experimental data given by different algorithms are shown in Table III, where WL represents the wire length, CPU represents the CPU time (in seconds), MST corresponds to the Minimal Spanning Tree resulting from [12]. From this table, it can be seen that the performance of the proposed algorithms is comparable to the BI1S algorithm in terms of wire length, while the nondeterministic algorithm is the slowest. As the second set of experiments, we used a large number of test instances that were generated by romly selecting the coordinates for a set of points from integers ranging between The instance sizes (i.e., the number of points or terminals) are chosen from 3 to 200. The instances are divided into two groups: group 1 in which the instance sizes are less than 20 group 2 in which the instance sizes range from 20 to 200 with increments of ten. For each instance size, we generated 30 instances romly. The result quality from the proposed algorithms is evaluated using the ratio of RST wire length to MST wire length. The execution time is computed for comparison based on average CPU time needed for each size. For group 1, we tested four algorithms: pure probabilistic, MST combined, nondeterministic BI1S algorithms. The results are shown in Fig. 8 (for wire length comparison) Fig. 9 (for CPU time comparison). From Fig. 8, while the pure probabilistic MST combined algorithms get less improvement over the minimal spanning tree than the BI1S does, the nondeterministic algorithm is comparable to the BI1S in terms of wire length. Since each point on the curves in Fig. 8 represents an average of the results over 30 instances, it is clear that the nondeterministic algorithm can outperform the BI1S for some instances. As shown in Fig. 9, the pure probabilistic MST combined algorithms are faster than the BI1S. However, the CPU time of the nondeterministic algorithm is longer than the BI1S since many Steiner trees (the selected number of passes is ten in this experiment) have to be constructed. Fortunately, running speed is not an issue for small-size instances. In summary, the probability-based algorithms have very good average performance for small-size problems. For group 2, we tested three algorithms: pure probabilistic, MST combined BI1S algorithms. The results are shown in Figs Since the nondeterministic algorithm is com- Fig. 8. Wire length comparison for different algorithms on small-size problems. Fig. 9. Execution time comparison for different algorithms on small-size problems. Fig. 10. Wire length comparison for different algorithms on large-size problems. Fig. 11. Execution time comparison for different algorithms on large-size problems. putationally expensive for large-size problems, its performance is not shown for this experiment. From Fig. 10, the pure proba-

7 842 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 10, NO. 6, DECEMBER 2002 bilistic algorithm MST combined algorithm get an average of 2% 6% improvement over MST wire length, respectively, compared to about 11% improvement with the BI1S algorithm. This indicates that the performance of probability-based approaches is still not good enough for large problems that are of theoretical interest. Therefore, further work is needed to enhance their performance. However, the MST combined algorithm is still faster than the BI1S, as can be seen in Fig. 11. Note that in this paper, we are not claiming our algorithm is better than the state-of-the-art algorithm. Instead, these preliminary experiments are used to show the general effectiveness of probabilistic approaches to Steiner problems. There are a few unique potential advantages with the probability-based techniques: 1) The proposed algorithms represent a class of segment-oriented methods which construct Steiner trees by selecting segments one after another. The segments with high probability are first selected during the tree construction. In contrast, the BI1S typically belongs to a class of point-oriented methods which select (Steiner) points one by one to find the best tree. In this sense, the segment-oriented methods are capable of dealing with congestion-driven interconnect design [8], [9]. This is because the segments falling into the congested areas can be assigned a lower weight so that they would be less likely to be selected in the tree construction. Thus, both wire length congestion can be minimized by taking into account the wiring flexibility of each individual tree the effect among different trees. 2) A natural solution for performance/efficiency improvement is to combine the probabilistic algorithm with the BI1S. Intuitively, the points connected to a low-probability segment can be ignored during the tree construction within the BI1S. This speeds up the process without suffering from wire length penalty. Another example for possible improvement is to use a prior decomposition/hierarchy technique followed by the probability approach. 3) As mentioned above, calculation of functions in our algorithms is time consuming. Therefore, an important speedup strategy is to obtain their values only once store them into a look-up table that can be used for different Steiner tree problems, enabling a more efficient computation. To summarize, our experiments show that the probabilitybased approaches have desirable performance in terms of computational costs generate reasonably good results in terms of wire length minimization. While they are still worse than the state-of-the-art algorithm for large-size problems, we strongly believe that further research can take advantage of the above unique properties to improve the performance. V. CONCLUSION We have presented a new class of approaches to Steiner tree problems based on probabilistic analysis, with the goal of finding the best solutions under statistical sense. We have described several algorithms their performance comparison with the existing algorithms. Since the actual VLSI designs have a small number of terminals in each signal net, one can use the nondeterministic algorithm which has the comparable performance to the state-of-the-art algorithm in terms of wire length. Further research work is needed to improve the algorithms for large-size problems. We also expect to explore the potential advantages of the probabilistic technique to extend the proposed probability model to other VLSI design applications, such as timing-driven interconnect optimization. REFERENCES [1] F. K. Hwang, An O(n log N ) algorithm for rectilinear minimal spanning trees, J. ACM, vol. 26, pp , [2] M. R. Gray D. S. Johnson, The rectilinear Steiner tree problem is NP-complete, SIAM J. Appl. Math, vol. 32, pp , [3] M. Hanan, On Steiner s problem with rectilinear distance, SIAM J. Appl. Math, pp , [4] J. P. Cohoon, D. S. Richards, J. S. Salowe, An optimal Steiner tree algorithms for a net whose terminals lie on the perimeter of a rectangle, IEEE Trans. Comput.-Aided Design, vol. 9, pp , Apr [5] R. Condamoor I. G. Tollis, A new heuristic for rectilinear Steiner trees, in Proc. Int. Symp. Circuits Syst., 1990, pp [6] F. K. Hwang, On Steiner minimal trees with rectilinear distance, SIAM J. Appl. Math, pp , [7] F. K. Hwang D. S. Richards, Steiner tree problems, Networks, vol. 22, pp , [8] C. J. Alpert et al., Steiner tree optimization for buffers, blockages bays, IEEE Trans. Computer -Aided Design, vol. 20, pp , Apr [9] E. Bozorgzadeh, R. Kastner, M. Sarrafzadeh, Creating exploiting flexibility in Steiner trees, in Proc. 38th IEEE/ACM Design Automation Conf., June 2001, pp [10] J. Cong, L. He, C. K. Koh, P. H. Madden, Performance optimization of VLSI interconnect layout, Integration VLSI J., vol. 21, pp. 1 94, [11] I. I. Moiu, V. Vazirani, J. L. Ganley, A new heuristic for rectilinear Steiner trees, IEEE Trans. Computer-Aided Design, vol. 19, pp , Oct [12] J. L. Ganley J. P. Cohoon, Routing a multi-terminal critical net: Steiner tree construction in the presence of obstacles, in Proc. Int. Symp. Circuits Syst., May 1994, pp [13] A. B. Kahng G. Robins, A new class of iterative Steiner heuristics with good performance, IEEE Trans. Computer-Aided Design, vol. 11, pp , July [14] M. Borah, R. M. Owens, M. J. Irwin, An edge-based heuristic for Steiner routing, IEEE Trans. Computer-Aided Design, vol. 13, pp , Dec [15] D. M. Warme, P. Winter, M. Zachariasen, Exact solutions to large-scale plane Steiner tree problems, in Proc. 10th Annu. ACM-SIAM Symp. Discrete Algorithms (SODA 99), 1999, pp [16] D. Z. Du, J. M. Smith, J. H. Rubinstein, Advances in Steiner Trees. Boston, MA: Kluwer Academic, [17] J. Griffith, G. Robins, J. S. Salowe, T. Zhang, Closing the gap: Near-optimal Steiner trees in polynomial time, IEEE Trans. Computer- Aided Design, vol. 13, pp , Nov Chunhong Chen (M 99) received the B.S. M.S. degrees from Tianjin University, Tianjin, China, the Ph.D. degree from Fudan University, Shanghai, China, all in electrical engineering. From September 1997 to August 1998, he was a Research Associate at the Hong Kong University of Science Technology, Hong Kong. From December 1998 to January 2001, he was a Postdoctoral Fellow at Northwestern University, Evanston, IL. Since February 2001, he has been with the Department of Electrical Computer Engineering at the University of Windsor, Ontario, Canada, where he is presently an Assistant Professor. His current research interests include physical layout, logic synthesis, timing analysis, power optimization for integrated circuits. Jiang Zhao received the B.S. degree in electrical engineering from Wuhan University, China, in Currently, he is studying for the M.S. degree at the Department of Electrical Computer Engineering, University of Windsor. His recent research is on interconnect optimization VLSI design.

8 CHEN et al.: PROBABILITY-BASED APPROACH TO RST PROBLEMS 843 Majid Ahmadi (S 75 M 77 SM 84 F 02) received the B.Sc. degree in electrical engineering from Arya Mehr University, Tehran, Iran, the Ph.D. degree in electrical engineering from Imperial College of London University, London, U.K., in , respectively. He has been with the Department of Electrical Computer Engineering, University of Windsor, Windsor, ON, Canada, since 1980, currently as Professor Associate Director for Research Center for Integrated Microsystems. His research interests include digital signal processing, machine vision, pattern recognition, neural network architectures, applications, VLSI implementation. He has coauthored the book Digital Filtering in 1-D 2-Dimensions, Design Applications (New York: Plenum, 1989) has published more than 300 articles in this area. He is an Associate Editor for the Journal of Pattern Recognition, Journal of Circuits, Systems Computers, the International Journal of Computers in Electrical Engineering. Dr. Ahmadi was a recipient of an Honorable Mention Award from the Editorial Board of the Pattern Recognition Journal in 1992 the Distinctive Contributed Paper Award from the Multiple-Valued Logic Technical Committee of the IEEE Computer Society in He is the IEEE-CAS representative on the Neural Network Council Past Chair of the IEEE Circuits Systems Neural Systems Applications Technical Committee. He is a Fellow of the Institution of Electrical Engineers, U.K.

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees

A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees A Study on Approximation Algorithms for Constructing Rectilinear Steiner Trees Latha N.R. Computer Science and Engineering, Visveswaraiah Technological University B.M.S. College of Engineering, Bangalore,

More information

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm

A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm A Scalable and Accurate Rectilinear Steiner Minimal Tree Algorithm Yiu-Chung Wong Rio Design Automation Santa Clara, CA 95054 Email: ycwong@rio-da.com Chris Chu Iowa State University Ames, IA 50011 Email:

More information

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization*

An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* An Efficient Rectilinear Steiner Minimum Tree Algorithm Based on Ant Colony Optimization* Yu Hu, Tong Jing, Xianlong Hong, Zhe Feng Tsinghua University Beiing 100084, P. R. China Email: matrix98@mails.tsinghua.edu.cn

More information

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices

Bottleneck Steiner Tree with Bounded Number of Steiner Vertices Bottleneck Steiner Tree with Bounded Number of Steiner Vertices A. Karim Abu-Affash Paz Carmi Matthew J. Katz June 18, 2011 Abstract Given a complete graph G = (V, E), where each vertex is labeled either

More information

A Novel Performance-Driven Topology Design Algorithm

A Novel Performance-Driven Topology Design Algorithm A Novel Performance-Driven Topology Design Algorithm Min Pan, Chris Chu Priyadarshan Patra Electrical and Computer Engineering Dept. Intel Corporation Iowa State University, Ames, IA 50011 Hillsboro, OR

More information

IN recent years, interconnect delay has become an increasingly

IN recent years, interconnect delay has become an increasingly 436 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 18, NO. 4, APRIL 1999 Non-Hanan Routing Huibo Hou, Jiang Hu, and Sachin S. Sapatnekar, Member, IEEE Abstract This

More information

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree

Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Efficient Multilayer Routing Based on Obstacle-Avoiding Preferred Direction Steiner Tree Ching-Hung Liu, Yao-Hsin Chou, Shin-Yi Yuan, and Sy-Yen Kuo National Taiwan University 1 Outline 2 Outline 3 The

More information

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles

Efficient Rectilinear Steiner Tree Construction with Rectangular Obstacles Proceedings of the th WSES Int. onf. on IRUITS, SYSTEMS, ELETRONIS, ONTROL & SIGNL PROESSING, allas, US, November 1-3, 2006 204 Efficient Rectilinear Steiner Tree onstruction with Rectangular Obstacles

More information

Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies

Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies Configurable Rectilinear Steiner Tree Construction for SoC and Nano Technologies Iris Hui-Ru Jiang and Yen-Ting Yu Department of Electronics Engineering & Institute of Electronics National Chiao Tung University,

More information

c 2006 Society for Industrial and Applied Mathematics

c 2006 Society for Industrial and Applied Mathematics SIAM J. COMPUT. Vol. 35, No. 3, pp. 729 740 c 2006 Society for Industrial and Applied Mathematics THE RECTILINEAR STEINER ARBORESCENCE PROBLEM IS NP-COMPLETE WEIPING SHI AND CHEN SU Abstract. Given a set

More information

Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid

Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid Efficient Second-Order Iterative Methods for IR Drop Analysis in Power Grid Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of

More information

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications *

Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Single Step Current Driven Routing of Multiterminal Signal Nets for Analog Applications * Thorsten Adler Infineon Technologies AG Thorsten.Adler@infineon.com Erich Barke Institute of Microelectronic Systems

More information

I. Introduction and Overview

I. Introduction and Overview Efficient use of Genetic Algorithms for the Minimal Steiner Tree and Arborescence Problems with applications to VLSI Physical Design Mark Rabkin Design Technology Process Verification and Physical Design

More information

Placement Algorithm for FPGA Circuits

Placement Algorithm for FPGA Circuits Placement Algorithm for FPGA Circuits ZOLTAN BARUCH, OCTAVIAN CREŢ, KALMAN PUSZTAI Computer Science Department, Technical University of Cluj-Napoca, 26, Bariţiu St., 3400 Cluj-Napoca, Romania {Zoltan.Baruch,

More information

The Full Survey on The Euclidean Steiner Tree Problem

The Full Survey on The Euclidean Steiner Tree Problem The Full Survey on The Euclidean Steiner Tree Problem Shikun Liu Abstract The Steiner Tree Problem is a famous and long-studied problem in combinatorial optimization. However, the best heuristics algorithm

More information

ICS 252 Introduction to Computer Design

ICS 252 Introduction to Computer Design ICS 252 Introduction to Computer Design Lecture 16 Eli Bozorgzadeh Computer Science Department-UCI References and Copyright Textbooks referred (none required) [Mic94] G. De Micheli Synthesis and Optimization

More information

Speed-up of Parallel Processing of Divisible Loads on k-dimensional Meshes and Tori

Speed-up of Parallel Processing of Divisible Loads on k-dimensional Meshes and Tori The Computer Journal, 46(6, c British Computer Society 2003; all rights reserved Speed-up of Parallel Processing of Divisible Loads on k-dimensional Meshes Tori KEQIN LI Department of Computer Science,

More information

An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane

An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane Information Processing Letters 81 (2002) 151 156 An approximation algorithm for a bottleneck k-steiner tree problem in the Euclidean plane Lusheng Wang,ZimaoLi Department of Computer Science, City University

More information

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers

Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers , October 20-22, 2010, San Francisco, USA Obstacle-Aware Longest-Path Routing with Parallel MILP Solvers I-Lun Tseng, Member, IAENG, Huan-Wen Chen, and Che-I Lee Abstract Longest-path routing problems,

More information

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles

An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles An Exact Algorithm for the Construction of Rectilinear Steiner Minimum Trees among Complex Obstacles Tao Huang Dept. of Computer Science and Engineering The Chinese University of Hong Kong Shatin, NT,

More information

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h)

HAI ZHOU. Evanston, IL Glenview, IL (847) (o) (847) (h) HAI ZHOU Electrical and Computer Engineering Northwestern University 2535 Happy Hollow Rd. Evanston, IL 60208-3118 Glenview, IL 60025 haizhou@ece.nwu.edu www.ece.nwu.edu/~haizhou (847) 491-4155 (o) (847)

More information

Routability-Driven Bump Assignment for Chip-Package Co-Design

Routability-Driven Bump Assignment for Chip-Package Co-Design 1 Routability-Driven Bump Assignment for Chip-Package Co-Design Presenter: Hung-Ming Chen Outline 2 Introduction Motivation Previous works Our contributions Preliminary Problem formulation Bump assignment

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

Subexponential algorithms for rectilinear Steiner tree and arborescence problems

Subexponential algorithms for rectilinear Steiner tree and arborescence problems Subexponential algorithms for rectilinear Steiner tree and arborescence problems Fedor V. Fomin Sudeshna Kolay Daniel Lokshtanov Fahad Panolan Saket Saurabh Abstract A rectilinear Steiner tree for a set

More information

Unit 7: Maze (Area) and Global Routing

Unit 7: Maze (Area) and Global Routing Unit 7: Maze (Area) and Global Routing Course contents Routing basics Maze (area) routing Global routing Readings Chapters 9.1, 9.2, 9.5 Filling Unit 7 1 Routing Unit 7 2 Routing Constraints 100% routing

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages

Efficient Rectilinear Steiner Tree Construction with Rectilinear Blockages Efficient ectilinear Steiner Tree Construction with ectilinear Blockages Zion Shen Chris CN Chu Ying-Meng Li Cadence Design Systems 555 iver Oaks Parkway San Jose, CA, 5134 zion@cadencecom Department of

More information

Express Letters. A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation. Jianhua Lu and Ming L. Liou

Express Letters. A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation. Jianhua Lu and Ming L. Liou IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 7, NO. 2, APRIL 1997 429 Express Letters A Simple and Efficient Search Algorithm for Block-Matching Motion Estimation Jianhua Lu and

More information

Heuristic Algorithms for Multiconstrained Quality-of-Service Routing

Heuristic Algorithms for Multiconstrained Quality-of-Service Routing 244 IEEE/ACM TRANSACTIONS ON NETWORKING, VOL 10, NO 2, APRIL 2002 Heuristic Algorithms for Multiconstrained Quality-of-Service Routing Xin Yuan, Member, IEEE Abstract Multiconstrained quality-of-service

More information

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity

λ-oat: λ-geometry Obstacle-Avoiding Tree Construction With O(n log n) Complexity IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 26, NO. 11, NOVEMBER 2007 2073 there are multiple types of buffers and the candidate locations for buffering are specified.

More information

Planar pattern for automatic camera calibration

Planar pattern for automatic camera calibration Planar pattern for automatic camera calibration Beiwei Zhang Y. F. Li City University of Hong Kong Department of Manufacturing Engineering and Engineering Management Kowloon, Hong Kong Fu-Chao Wu Institute

More information

Appearance-Based Place Recognition Using Whole-Image BRISK for Collaborative MultiRobot Localization

Appearance-Based Place Recognition Using Whole-Image BRISK for Collaborative MultiRobot Localization Appearance-Based Place Recognition Using Whole-Image BRISK for Collaborative MultiRobot Localization Jung H. Oh, Gyuho Eoh, and Beom H. Lee Electrical and Computer Engineering, Seoul National University,

More information

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management

Effective Memory Access Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management International Journal of Computer Theory and Engineering, Vol., No., December 01 Effective Memory Optimization by Memory Delay Modeling, Memory Allocation, and Slack Time Management Sultan Daud Khan, Member,

More information

An Area-Efficient BIRA With 1-D Spare Segments

An Area-Efficient BIRA With 1-D Spare Segments 206 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 1, JANUARY 2018 An Area-Efficient BIRA With 1-D Spare Segments Donghyun Kim, Hayoung Lee, and Sungho Kang Abstract The

More information

AS MORE custom very large scale integrated (VLSI) circuit

AS MORE custom very large scale integrated (VLSI) circuit IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 6, JUNE 2004 907 Efficient Approximation of Symbolic Expressions for Analog Behavioral Modeling and Analysis

More information

On Improving Recursive Bipartitioning-Based Placement

On Improving Recursive Bipartitioning-Based Placement Purdue University Purdue e-pubs ECE Technical Reports Electrical and Computer Engineering 12-1-2003 On Improving Recursive Bipartitioning-Based Placement Chen Li Cheng-Kok Koh Follow this and additional

More information

Budget Management with Applications

Budget Management with Applications Algorithmica (2002) 34: 261 275 DOI: 10.1007/s00453-002-0964-7 Algorithmica 2002 Springer-Verlag New York Inc. Budget Management with Applications Chunhong Chen, 1 Elaheh Bozorgzadeh, 2 Ankur Srivastava,

More information

An Improved Upper Bound for the Sum-free Subset Constant

An Improved Upper Bound for the Sum-free Subset Constant 1 2 3 47 6 23 11 Journal of Integer Sequences, Vol. 13 (2010), Article 10.8.3 An Improved Upper Bound for the Sum-free Subset Constant Mark Lewko Department of Mathematics University of Texas at Austin

More information

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing

Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Full Custom Layout Optimization Using Minimum distance rule, Jogs and Depletion sharing Umadevi.S #1, Vigneswaran.T #2 # Assistant Professor [Sr], School of Electronics Engineering, VIT University, Vandalur-

More information

A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS

A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS A Novel Statistical Distortion Model Based on Mixed Laplacian and Uniform Distribution of Mpeg-4 FGS Xie Li and Wenjun Zhang Institute of Image Communication and Information Processing, Shanghai Jiaotong

More information

The Feasible Solution Space for Steiner Trees

The Feasible Solution Space for Steiner Trees The Feasible Solution Space for Steiner Trees Peter M. Joyce, F. D. Lewis, and N. Van Cleave Department of Computer Science University of Kentucky Lexington, Kentucky 40506 Contact: F. D. Lewis, lewis@cs.engr.uky.edu

More information

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing

A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing A Provably Good Approximation Algorithm for Rectangle Escape Problem with Application to PCB Routing Qiang Ma Hui Kong Martin D. F. Wong Evangeline F. Y. Young Department of Electrical and Computer Engineering,

More information

Multilayer Routing on Multichip Modules

Multilayer Routing on Multichip Modules Multilayer Routing on Multichip Modules ECE 1387F CAD for Digital Circuit Synthesis and Layout Professor Rose Friday, December 24, 1999. David Tam (2332 words, not counting title page and reference section)

More information

Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks

Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks IJCSNS International Journal of Computer Science and Network Security, VOL.17 No.9, September 2017 139 Nodes Energy Conserving Algorithms to prevent Partitioning in Wireless Sensor Networks MINA MAHDAVI

More information

A Multi-Layer Router Utilizing Over-Cell Areas

A Multi-Layer Router Utilizing Over-Cell Areas A Multi-Layer Router Utilizing Over-Cell Areas Evagelos Katsadas and Edwin h e n Department of Electrical Engineering University of Rochester Rochester, New York 14627 ABSTRACT A new methodology is presented

More information

LOGIC synthesis is the process of transforming a set of

LOGIC synthesis is the process of transforming a set of 42 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 1, JANUARY 2004 Timing Driven Gate Duplication Ankur Srivastava, Member, IEEE, Ryan Kastner, Chunhong Chen, and Majid Sarrafzadeh,

More information

An Efficient Method for Solving the Direct Kinematics of Parallel Manipulators Following a Trajectory

An Efficient Method for Solving the Direct Kinematics of Parallel Manipulators Following a Trajectory An Efficient Method for Solving the Direct Kinematics of Parallel Manipulators Following a Trajectory Roshdy Foaad Abo-Shanab Kafr Elsheikh University/Department of Mechanical Engineering, Kafr Elsheikh,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

Embedding Large Complete Binary Trees in Hypercubes with Load Balancing

Embedding Large Complete Binary Trees in Hypercubes with Load Balancing JOURNAL OF PARALLEL AND DISTRIBUTED COMPUTING 35, 104 109 (1996) ARTICLE NO. 0073 Embedding Large Complete Binary Trees in Hypercubes with Load Balancing KEMAL EFE Center for Advanced Computer Studies,

More information

Triangle Graphs and Simple Trapezoid Graphs

Triangle Graphs and Simple Trapezoid Graphs JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 18, 467-473 (2002) Short Paper Triangle Graphs and Simple Trapezoid Graphs Department of Computer Science and Information Management Providence University

More information

On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model

On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model On the Complexity of the Channel Routing Problem in the Dogleg-free Multilayer Manhattan Model Kornélia Ambrus Somogyi Budapest Tech, email: ambrusne.somogyi.kornelia@nik.bmf.hu András Recski 1 Budapest

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

A Level-wise Priority Based Task Scheduling for Heterogeneous Systems

A Level-wise Priority Based Task Scheduling for Heterogeneous Systems International Journal of Information and Education Technology, Vol., No. 5, December A Level-wise Priority Based Task Scheduling for Heterogeneous Systems R. Eswari and S. Nickolas, Member IACSIT Abstract

More information

Constructive floorplanning with a yield objective

Constructive floorplanning with a yield objective Constructive floorplanning with a yield objective Rajnish Prasad and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 13 E-mail: rprasad,koren@ecs.umass.edu

More information

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs

General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs General Models for Optimum Arbitrary-Dimension FPGA Switch Box Designs Hongbing Fan Dept. of omputer Science University of Victoria Victoria B anada V8W P6 Jiping Liu Dept. of Math. & omp. Sci. University

More information

Complexity Results on Graphs with Few Cliques

Complexity Results on Graphs with Few Cliques Discrete Mathematics and Theoretical Computer Science DMTCS vol. 9, 2007, 127 136 Complexity Results on Graphs with Few Cliques Bill Rosgen 1 and Lorna Stewart 2 1 Institute for Quantum Computing and School

More information

Short Papers. Creating and Exploiting Flexibility in Rectilinear Steiner Trees

Short Papers. Creating and Exploiting Flexibility in Rectilinear Steiner Trees IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 22, NO. 5, MAY 2003 605 Short Papers Creating and Exploiting Flexibility in Rectilinear Steiner Trees Elaheh Bozorgzadeh,

More information

Javier Cordova. Abstract. In this paper the following problem is considered: given a root node R in a

Javier Cordova. Abstract. In this paper the following problem is considered: given a root node R in a TR94025 A Heuristic Algorithm for the Rectilinear Steiner Arborescence Problem Javier Cordova Computer Science Department University of Puerto Rico Arecibo, PR 0013 YannHang Lee Computer and Information

More information

Canonical Forms and Algorithms for Steiner Trees in Uniform Orientation Metrics

Canonical Forms and Algorithms for Steiner Trees in Uniform Orientation Metrics Canonical Forms and Algorithms for Steiner Trees in Uniform Orientation Metrics M. Brazil D.A. Thomas J.F. Weng M. Zachariasen December 13, 2002 Abstract We present some fundamental structural properties

More information

Minimum-Link Watchman Tours

Minimum-Link Watchman Tours Minimum-Link Watchman Tours Esther M. Arkin Joseph S. B. Mitchell Christine D. Piatko Abstract We consider the problem of computing a watchman route in a polygon with holes. We show that the problem of

More information

AN ALGORITHM WHICH GENERATES THE HAMILTONIAN CIRCUITS OF A CUBIC PLANAR MAP

AN ALGORITHM WHICH GENERATES THE HAMILTONIAN CIRCUITS OF A CUBIC PLANAR MAP AN ALGORITHM WHICH GENERATES THE HAMILTONIAN CIRCUITS OF A CUBIC PLANAR MAP W. L. PRICE ABSTRACT The paper describes an algorithm which generates those Hamiltonian circuits of a given cubic planar map

More information

A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles

A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles A Fast Algorithm for Rectilinear Steiner Trees with Length Restrictions on Obstacles Stephan Held 1 and Sophie Theresa Spirkl 2 1 Research Institute for Discrete Mathematics, Bonn, Germany held@or.uni-bonn.de

More information

The NP-Completeness of Some Edge-Partition Problems

The NP-Completeness of Some Edge-Partition Problems The NP-Completeness of Some Edge-Partition Problems Ian Holyer y SIAM J. COMPUT, Vol. 10, No. 4, November 1981 (pp. 713-717) c1981 Society for Industrial and Applied Mathematics 0097-5397/81/1004-0006

More information

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets.

Problem Formulation. Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Clock Routing Problem Formulation Specialized algorithms are required for clock (and power nets) due to strict specifications for routing such nets. Better to develop specialized routers for these nets.

More information

is the Capacitated Minimum Spanning Tree

is the Capacitated Minimum Spanning Tree Dynamic Capacitated Minimum Spanning Trees Raja Jothi and Balaji Raghavachari Department of Computer Science, University of Texas at Dallas Richardson, TX 75083, USA raja, rbk @utdallas.edu Abstract Given

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu VLSI Physical Design: From Graph Partitioning to Timing

More information

G Steiner Tree (RST) problem is to fipd the rectilinear

G Steiner Tree (RST) problem is to fipd the rectilinear IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN, VOL 9 NO 2, FEBRUARY 1990 New Algorithms for the Rectilinear Steiner Tree Problem 185 JAN-MING HO, GOPALAKRISHNAN VIJAYAN, AND C. K. WONG, FELLOW, IEEE Abstract-We

More information

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree

Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Generation of Optimal Obstacle-avoiding Rectilinear Steiner Minimum Tree Liang Li lli@cse.cuhk.edu.hk Zaichen Qian zcqian@cse.cuhk.edu.hk Evangeline F. Y. Young fyyoung@cse.cuhk.edu.hk ABSTRACT 1 In this

More information

LOW-DENSITY PARITY-CHECK (LDPC) codes [1] can

LOW-DENSITY PARITY-CHECK (LDPC) codes [1] can 208 IEEE TRANSACTIONS ON MAGNETICS, VOL 42, NO 2, FEBRUARY 2006 Structured LDPC Codes for High-Density Recording: Large Girth and Low Error Floor J Lu and J M F Moura Department of Electrical and Computer

More information

DEADLOCK AVOIDANCE FOR FLEXIBLE MANUFACTURING SYSTEMS WITH CHOICES BASED ON DIGRAPH CIRCUIT ANALYSIS

DEADLOCK AVOIDANCE FOR FLEXIBLE MANUFACTURING SYSTEMS WITH CHOICES BASED ON DIGRAPH CIRCUIT ANALYSIS Asian Journal of Control, Vol. 9, No. 2, pp. 111-120, June 2007 111 DEADLOCK AVOIDANCE FOR FLEXIBLE MANUFACTURING SYSTEMS WITH CHOICES BASED ON DIGRAPH CIRCUIT ANALYSIS Wenle Zhang and Robert P. Judd ABSTRACT

More information

The crossing number of K 1,4,n

The crossing number of K 1,4,n Discrete Mathematics 308 (2008) 1634 1638 www.elsevier.com/locate/disc The crossing number of K 1,4,n Yuanqiu Huang, Tinglei Zhao Department of Mathematics, Normal University of Hunan, Changsha 410081,

More information

Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems

Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems Local Search Approximation Algorithms for the Complement of the Min-k-Cut Problems Wenxing Zhu, Chuanyin Guo Center for Discrete Mathematics and Theoretical Computer Science, Fuzhou University, Fuzhou

More information

An Improved Measurement Placement Algorithm for Network Observability

An Improved Measurement Placement Algorithm for Network Observability IEEE TRANSACTIONS ON POWER SYSTEMS, VOL. 16, NO. 4, NOVEMBER 2001 819 An Improved Measurement Placement Algorithm for Network Observability Bei Gou and Ali Abur, Senior Member, IEEE Abstract This paper

More information

Lecture 3: Totally Unimodularity and Network Flows

Lecture 3: Totally Unimodularity and Network Flows Lecture 3: Totally Unimodularity and Network Flows (3 units) Outline Properties of Easy Problems Totally Unimodular Matrix Minimum Cost Network Flows Dijkstra Algorithm for Shortest Path Problem Ford-Fulkerson

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

On the Rectangle Escape Problem

On the Rectangle Escape Problem CCCG 2013, Waterloo, Ontario, August 8 10, 2013 On the Rectangle Escape Problem Sepehr Assadi Ehsan Emamjomeh-Zadeh Sadra Yazdanbod Hamid Zarrabi-Zadeh Abstract Motivated by a PCB routing application,

More information

Estimation of Wirelength

Estimation of Wirelength Placement The process of arranging the circuit components on a layout surface. Inputs: A set of fixed modules, a netlist. Goal: Find the best position for each module on the chip according to appropriate

More information

CS612 Algorithms for Electronic Design Automation. Global Routing

CS612 Algorithms for Electronic Design Automation. Global Routing CS612 Algorithms for Electronic Design Automation Global Routing Mustafa Ozdal CS 612 Lecture 7 Mustafa Ozdal Computer Engineering Department, Bilkent University 1 MOST SLIDES ARE FROM THE BOOK: MODIFICATIONS

More information

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998

Routing. Robust Channel Router. Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Routing Robust Channel Router Figures taken from S. Gerez, Algorithms for VLSI Design Automation, Wiley, 1998 Channel Routing Algorithms Previous algorithms we considered only work when one of the types

More information

Retiming and Clock Scheduling for Digital Circuit Optimization

Retiming and Clock Scheduling for Digital Circuit Optimization 184 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 21, NO. 2, FEBRUARY 2002 Retiming and Clock Scheduling for Digital Circuit Optimization Xun Liu, Student Member,

More information

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm

DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm DpRouter: A Fast and Accurate Dynamic- Pattern-Based Global Routing Algorithm Zhen Cao 1,Tong Jing 1, 2, Jinjun Xiong 2, Yu Hu 2, Lei He 2, Xianlong Hong 1 1 Tsinghua University 2 University of California,

More information

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries

Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Efficient Computation of Canonical Form for Boolean Matching in Large Libraries Debatosh Debnath Dept. of Computer Science & Engineering Oakland University, Rochester Michigan 48309, U.S.A. debnath@oakland.edu

More information

Wire Type Assignment for FPGA Routing

Wire Type Assignment for FPGA Routing Wire Type Assignment for FPGA Routing Seokjin Lee Department of Electrical and Computer Engineering The University of Texas at Austin Austin, TX 78712 seokjin@cs.utexas.edu Hua Xiang, D. F. Wong Department

More information

Graph Models for Global Routing: Grid Graph

Graph Models for Global Routing: Grid Graph Graph Models for Global Routing: Grid Graph Each cell is represented by a vertex. Two vertices are joined by an edge if the corresponding cells are adjacent to each other. The occupied cells are represented

More information

6. Concluding Remarks

6. Concluding Remarks [8] K. J. Supowit, The relative neighborhood graph with an application to minimum spanning trees, Tech. Rept., Department of Computer Science, University of Illinois, Urbana-Champaign, August 1980, also

More information

H. W. Kuhn. Bryn Mawr College

H. W. Kuhn. Bryn Mawr College VARIANTS OF THE HUNGARIAN METHOD FOR ASSIGNMENT PROBLEMS' H. W. Kuhn Bryn Mawr College The author presents a geometrical modelwhich illuminates variants of the Hungarian method for the solution of the

More information

WITH the development of the semiconductor technology,

WITH the development of the semiconductor technology, Dual-Link Hierarchical Cluster-Based Interconnect Architecture for 3D Network on Chip Guang Sun, Yong Li, Yuanyuan Zhang, Shijun Lin, Li Su, Depeng Jin and Lieguang zeng Abstract Network on Chip (NoC)

More information

VLSI Physical Design: From Graph Partitioning to Timing Closure

VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 5 Global Routing Original uthors: ndrew. Kahng, Jens, Igor L. Markov, Jin Hu Chapter 5 Global Routing 5. Introduction 5.2 Terminology and Definitions 5.3 Optimization Goals 5. Representations of

More information

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna

Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Error Detection and Correction by using Bloom Filters R. Prem Kumar, Smt. V. Annapurna Abstract---Bloom filters (BFs) provide a fast and efficient way to check whether a given element belongs to a set.

More information

Minimum-Cost QoS Multicast and Unicast Routing in Communication Networks

Minimum-Cost QoS Multicast and Unicast Routing in Communication Networks IEEE TRANSACTIONS ON COMMUNICATIONS, VOL. 51, NO. 5, MAY 2003 817 Minimum-Cost QoS Multicast and Unicast Routing in Communication Networks Guoliang Xue, Senior Member, IEEE Abstract In this paper, we study

More information

A Hybrid Recursive Multi-Way Number Partitioning Algorithm

A Hybrid Recursive Multi-Way Number Partitioning Algorithm Proceedings of the Twenty-Second International Joint Conference on Artificial Intelligence A Hybrid Recursive Multi-Way Number Partitioning Algorithm Richard E. Korf Computer Science Department University

More information

Eulerian disjoint paths problem in grid graphs is NP-complete

Eulerian disjoint paths problem in grid graphs is NP-complete Discrete Applied Mathematics 143 (2004) 336 341 Notes Eulerian disjoint paths problem in grid graphs is NP-complete Daniel Marx www.elsevier.com/locate/dam Department of Computer Science and Information

More information

Notes for Lecture 24

Notes for Lecture 24 U.C. Berkeley CS170: Intro to CS Theory Handout N24 Professor Luca Trevisan December 4, 2001 Notes for Lecture 24 1 Some NP-complete Numerical Problems 1.1 Subset Sum The Subset Sum problem is defined

More information

Algorithms for minimum m-connected k-tuple dominating set problem

Algorithms for minimum m-connected k-tuple dominating set problem Theoretical Computer Science 381 (2007) 241 247 www.elsevier.com/locate/tcs Algorithms for minimum m-connected k-tuple dominating set problem Weiping Shang a,c,, Pengjun Wan b, Frances Yao c, Xiaodong

More information

Floorplan considering interconnection between different clock domains

Floorplan considering interconnection between different clock domains Proceedings of the 11th WSEAS International Conference on CIRCUITS, Agios Nikolaos, Crete Island, Greece, July 23-25, 2007 115 Floorplan considering interconnection between different clock domains Linkai

More information

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM

AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM AUTONOMOUS RECONFIGURATION OF IP CORE UNITS USING BLRB ALGORITHM B.HARIKRISHNA 1, DR.S.RAVI 2 1 Sathyabama Univeristy, Chennai, India 2 Department of Electronics Engineering, Dr. M. G. R. Univeristy, Chennai,

More information

A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications

A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications A CORDIC Algorithm with Improved Rotation Strategy for Embedded Applications Kui-Ting Chen Research Center of Information, Production and Systems, Waseda University, Fukuoka, Japan Email: nore@aoni.waseda.jp

More information

Computing Submesh Reliability in Two-Dimensional Meshes

Computing Submesh Reliability in Two-Dimensional Meshes Computing Submesh Reliability in Two-Dimensional Meshes Chung-yen Chang and Prasant Mohapatra Department of Electrical and Computer Engineering Iowa State University Ames, Iowa 511 E-mail: prasant@iastate.edu

More information

2386 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 52, NO. 6, JUNE 2006

2386 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 52, NO. 6, JUNE 2006 2386 IEEE TRANSACTIONS ON INFORMATION THEORY, VOL. 52, NO. 6, JUNE 2006 The Encoding Complexity of Network Coding Michael Langberg, Member, IEEE, Alexander Sprintson, Member, IEEE, and Jehoshua Bruck,

More information

Discrete Applied Mathematics. A revision and extension of results on 4-regular, 4-connected, claw-free graphs

Discrete Applied Mathematics. A revision and extension of results on 4-regular, 4-connected, claw-free graphs Discrete Applied Mathematics 159 (2011) 1225 1230 Contents lists available at ScienceDirect Discrete Applied Mathematics journal homepage: www.elsevier.com/locate/dam A revision and extension of results

More information