Binary Counter v8.0. Features. Functional Description. Pinout. DS215 April 28, Product Specification

Size: px
Start display at page:

Download "Binary Counter v8.0. Features. Functional Description. Pinout. DS215 April 28, Product Specification"

Transcription

1 0 Binary Counter v8.0 DS215 April 28, Features Drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Spartan -II, Spartan-IIE, Spartan-3, and Spartan-3E FPGAs Generates Up, Down and Up/Down Counters Supports counters ranging from 2 to 30 bits wide Optional load capability Optional user programmable threshold outputs Optional clock enable and asynchronous and synchronous controls Counter increment value can be user defined User-programmable count limit For use with CORE Generator system v7.1i Service Pack 2 or higher Incorporates Xilinx Smart-IP technology for utmost parameterization and optimum implementation Functional Description The binary counter is used to create up counters, down counters, and up/down counters with outputs of up to 30 bits wide. Support is provided for one threshold signal that can be programmed to become active when the counter reaches a user defined count. The upper limit of the count is user programmable and the counter s increment value can be user defined. Options are provided for Clock Enable, Asynchronous Set, Clear, and Init, and Synchronous Set, Clear and Init. An optional Load capability is also provided which can load the value on the Load port directly into the output register. When the counter reaches terminal count or the count to value the next count will be zero. Pinout Figure 1: Core Schematic Symbol Signal names for the schematic symbol are shown in and described in Table1. Table 1: Core Signal Pinout Signal Direction Description CLK Input Clock - rising edge clock signal UP Input Controls the count direction on an up/down counter. Counts Up when High, Down when Low CE Input Clock Enable IV[N:0] Input Unused; for backwards compatibility only L[N:0] Input Load data port LOAD Input Load Control signal ACLR Input Asynchronous Clear - forces outputs to a Low state when driven 2005 Xilinx, Inc. All rights reserved. All Xilinx trademarks, registered trademarks, patents, and further disclaimers are as listed at All other trademarks and registered trademarks are the property of their respective owners. All specifications are subject to change without notice. NOTICE OF DISCLAIMER: Xilinx is providing this design, code, or information "as is." By providing the design, code, or information as one possible implementation of this feature, application, or standard, Xilinx makes no representation that this implementation is free from any claims of infringement. You are responsible for obtaining any rights you may require for your implementation. Xilinx expressly disclaims any warranty whatsoever with respect to the adequacy of the implementation, including but not limited to any warranties or representations that this implementation is free from claims of infringement and any implied warranties of merchantability or fitness for a particular purpose. DS215 April 28,

2 Table 1: Core Signal Pinout (Continued) Signal Direction Description SCLR Input Synchronous Clear - forces the registered output to a Low state on next concurrent clock edge ASET Input Asynchronous Set - forces the registered output to a High state when driven SSET Input Synchronous Set - forces the registered output to a High state on next concurrent clock edge AINIT Input Asynchronous Initialize - forces the registered outputs to a user defined state when driven SINIT Input Synchronous Initialize - forces the registered outputs to a user defined state on next concurrent clock edge THRESH0 Output User programmable threshold signal Q_THRESH0 Output Registered user programmable threshold signal THRESH1 Output Unused; This feature has been deprecated. It remains on the port map for backwards compatibility only Q_THRESH1 Output Unused; as for THRESH1 Q[N:0] Output Output Notes: All control inputs are Active High. Should an Active Low input be required for a particular control pin an inverter must be placed in the path to the pin. The inverter will be absorbed appropriately during mapping. XCO and VHDL Generic Parameters XCO parameters and VHDL generics are broadly equivalent. Table2 describes the parameters, legal values and meaning of each. The descriptions below refer to the VHDL generic parameters. The main generic parameters are as follows: c_width(integer): Specifies the width of the counter. The valid range is 2 to 30. The default value is 16. c_restrict_count(integer): When this generic is 1 the counter will only count up (or down) to the value specified in the c_count_to generic. When it is 0 the counter will count up to the maximum value that can be represented using the specified output width.the default is for no count restriction. This option is mutually exclusive with the up/down counter option, and with asynchronous or synchronous set controls. c_count_to(string): When c_restrict_count = 1, this generic specifies the binary representation of the upper limit of the counter. The valid range for values is 1 to 2 c_width - 2. c_count_by(string): Specifies in binary the increment value of the counter. When c_restrict_count is 0 the valid range is 1 to 2 c_width - 1. When c_restrict_count is 1 the valid settings for c_count_by are governed by the equation: c_count_to / c_count_by = Integer for up counters, and: 2 c_width - c_count_to / c_count_by = Integer for down counters. The default value is 1. c_count_mode(integer): This generic specifies whether the counter will count up, down, or will have its direction specified on the UP pin (up/down). The valid values are c_up, c_down, or c_updown. c_has_up(integer): This generic specifies if an UP pin is to be present. It should equal 1 for up/down counters, and 0 for other counters. c_has_iv(integer): The counter used to support variable increment but this facility is deprecated. This generic should equal 0. For an alternative to allow for variable increment, see the Accumulator baseblock data sheet. Asynchronous Settings: All asynchronous controls are implemented using the dedicated inputs on the flip-flop primitives. The relevant generics are: - c_has_aclr (integer): Specifies if an ACLR pin is to be included. Valid values are 1 or 0, default is 0. - c_has_aset(integer): Specifies if an ASET pin is to be included. ASET is not allowed with restricted counters. If both ACLR and ASET are present, ACLR takes precedence. Valid values are 1 or 0, default is 0. - c_has_ainit(integer): Specifies if an AINIT pin is to be included which, when asserted, will asynchronously set the counter value to the value defined by c_ainit_val. Note that if AINIT is present, then neither ASET nor ACLR may be present. Valid values are 1 or 0, default is 0. - c_ainit_val(string): Specifies in binary the value the counter will initialize to when AINIT is asserted. Valid values are 0 up to 2 c_width - 1 for unrestricted counters, and 0 up to c_count_to for restricted counters. C_ainit_val is used as the power on value if the counter has no reset controls or only 2 DS215 April 28, 2005

3 ainit. Otherwise, c_ainit_val is ignored if c_has_ainit = 0. Synchronous Settings: Synchronous settings are whenever possible implemented using the dedicated inputs on the flip-flop primitives. The relevant generics are: - c_has_sclr (integer): Specifies if an SCLR pin is to be included. - c_has_sset(integer): Specifies if an SSET pin is to be included. SSET is not allowed with restricted counters. See below for SCLR/SSET priorities. Valid values are 1 or 0, default is 0. - c_has_sinit(integer): Specifies if an SINIT pin is to be included which, when asserted, will synchronously set the counter value to the value defined by c_sinit_val. Note that if SINIT is present, then neither SSET nor SCLR may be present. Valid values are 1 or 0, default is 0. - c_sinit_val(string): Specifies in binary the value the counter will initialize to when SINIT is asserted. Valid values are 0 up to 2 c_width - 1 for unrestricted counters, and 0 up to c_count_to for restricted counters. Ignored if c_has_sinit = 0. - c_sync_enable(integer): This parameter controls whether or not the SSET, SCLR, and SINIT inputs are qualified by CE. When c_sync_enable = 0, these synchronous controls override the CE signal. When c_sync_enable = 1, they have effect only when CE is high. This parameter is ignored unless c_has_ce = 1. Note that on the primitives, the SCLR and SSET controls override CE, so choosing c_sync_enable =1 will generally result in extra logic. The default is c_sync_enable = 0, - c_sync_priority(integer): Controls the relative priority of SCLR and SSET. When c_sync_priority = 1, SCLR overrides SSET. When c_sync_priority = 0, SSET overrides SCLR. The default is 1, since this is also the way the primitives behave, hence results in no extra logic. Threshold outputs: The behavior of the threshold outputs depends on the state of the c_thresh_early generic. If c_thresh_early = 1, a registered threshold output (Q_THRESH0) will become active on the same clock edge that sets the output of the counter to c_thresh0_value. A nonregistered threshold output (THRESH0) will become active one clock cycle before the threshold value is reached on the counter outputs. This allows more setup time for ripple operations that utilize the nonregistered threshold output prior to the clock edge that sets the counter outputs to the threshold value. If c_thresh_early = 0, a nonregistered output will become active when the threshold value is reached on the counter outputs and a registered output will become active on the next clock edge. This allows implementation of a combinatorial terminal count signal. The timing of threshold outputs is shown in Figure2. Note that any initialization signal (ACLR, ASET, AINIT, SCLR, SSET, SINIT) will cause the registered threshold output to reset to 0. - c_has_thresh0(integer): When this generic equals 1, the THRESH0 combinatorial output will be generated. The default is to not generate a THRESH0 output. - c_has_q_thresh0(integer): When this generic equals 1, the Q_THRESH0 registered output will be generated. The default is to not generate a Q_THRESH0 output. - c_thresh0_value(string): Specifies the value at which the THRESH0 value will be activated as a binary value. The valid range is 0 to c_count_to for restricted counters, and 0 to 2 c_width - 1 for unrestricted counters. Note that when c_restrict_count = 1, c_thresh0_value must be less than or equal to c_count_to. - c_has_thresh1, c_has_q_thresh1(both integer): These generics are remnants from earlier versions of the counter and are no longer supported. They will produce an error if any value other than 0 is supplied. - c_thresh1_value(string): Ignored. c_has_load(integer): Activating the LOAD pin (c_has_load = 1) allows the value on the L[N:0] input port to pass through the logic and be loaded into the output register on the next active clock edge. The default is for no LOAD pin to be generated (c_has_load = 0). If any synchronous options are selected (see c_has_sclr, c_has_sset, and c_has_sinit), they take precedence over LOAD. See later for more complex precedence issues. c_has_l(integer): Specifies whether the L[N:0] bus is present. Technically redundant - c_has_l should equal c_has_load. c_load_enable(integer): This generic controls whether or not the LOAD input is qualified by CE. When c_load_enable = 0, the activation of the LOAD signal will also enable the output register. When c_load_enable = 1, the register needs to have CE active in order to load the L port data. By default this generic equals 0 c_load_low(integer): Earlier versions allowed you to specify an active-low LOAD pin. This functionality has been removed. Any value other than 0 passed to the generic will cause an error. c_has_ce(integer): When this generic equals 1, the module is generated with a clock enable input. The DS215 April 28,

4 default setting is 0. c_pipe_stages(integer): Present for backwards compatibility. Ignored. c_enable_rlocs(integer): Present for backwards compatibility. Ignored. Table 2: XCO and VHDL Generic parameters XCO Parameter XCO Values Generic Parameter Generic Values Description OutputWidth (Integer) 2 to 30 c_width 2 to 30 The output width in bits RestrictCount (Bool) false, true c_restrict_count 0,1 0 (false)= no restriction (will wrap after 2^OutputWidth-1) 1 (true) = Will return to 0 after CountToValue has been reached. Operation UP, DOWN, UP/DOWN c_count_mode 0,1,2 0 (UP) = Up counter 1 (DOWN) = Down counter 2 (UP/DOWN) = Up/Down counter c_has_up 0,1 0 (UP, DOWN)= does not have up port 1 (UP/DOWN) = has up port CountToValue (Hex String) 0 to 2^OutputWidth-1 c_count_to (String) 0 to 2^c_width - 2 Sets the final count value CountStyle Constant, Variable c_has_iv 0 Variable count feature has been deprecated. If you require this feature use an accumulator CountByValue (Hex String)0 to c_count_by (string) 0 to Constant increment/decrement value. AsyncThreshold (Bool) false, true c_has_thresh0 0,1 0 (false) = no thresh0 output 1 (true) = has thresh0 output SyncThreshold0 (Bool) false, true c_has_q_thresh 0 0,1 0 (false) = no q_thresh0 output 1 (true) = has q_thresh0 output Threshold_early (Bool) false, true c_thresh_early 0,1 0 (false) = threshold output appears after the threshold is reached. 1 (true) = threshold output is brought forward one cycle. ThresholdValue0 (Hex String)0 to c_thresh0_value (String)0 to Threshold value. Load (Bool) false, true c_has_load 0,1 0 (false) = no load port 1 (true)= has load port c_has_l 0,1 0 = no l (value to load) port 1 = has l (value to load) port LoadSense "Active High", "Active Low" c_load_low 0,1 0 = Active High load 1 = Active low load 4 DS215 April 28, 2005

5 Table 2: XCO and VHDL Generic parameters XCO Parameter XCO Values Generic Parameter Generic Values Description CE_Override_for _Load (Bool) false, true c_load_enable 0,1 0 (false) = load overrides ce 1 (true) = ce overrides load Clock_Enable (Bool) false, true c_has_ce 0,1 0 (false) = no ce ACLR (Bool) false, true c_has_aclr 0,1 0 (false) = no ce ASET (Bool) false, true c_has_aset 0,1 0 (false) = no ce AINIT (Bool) false, true c_has_ainit 0,1 0 (false) = no ce AINIT_VAL (Hex String) 0 to 2^OutputWidth -1 c_ainit_val (Binary String) 0 to The output value set when ainit = 1 SCLR (Bool) false, true c_has_sclr 0,1 0 (false) = no ce SSET (Bool) false, true c_has_sset 0,1 0 (false) = no ce SINIT (Bool) false, true c_has_sinit 0,1 0 (false) = no ce SINIT_VAL (Hex String) 0 to 2^OutputWidth -1 c_sinit_val (Binary String) 0 to The output value set when sinit = 1 Clock Q Thresh-1 Thresh Thresh+1 Count_to 0 Thresh0 Q_thresh0 Thresh0 (c_thresh_early = 1) Q_thresh0 (c_thresh_early = 1) Figure 2: Threshold Output Timing DS215 April 28,

6 Power-On Conditions See the FD-based Register datasheet for information on the power-up values for the counter. Note that if the user requests Restrict Count functionality, the final register has an internally used synchronous clear, which may affect the power-up value. Priorities of Input Signals ACLR/ASET: ACLR takes priority over ASET. If a different arrangement is required, it will have to be created in external logic. SCLR/SSET: The priority of SCLR versus SSET can be configured using the c_sync_priority generic as described above. LOAD: The synchronous controls (SCLR, SSET, SINIT) take priority over LOAD. Note that if SCLR, SSET, SINIT or LOAD are affected by CE (specified in the c_sync_enable and c_load_enable generics), then a low CE value will cause these signals to be completely ignored. For example, if SCLR is affected by CE but LOAD is not, then with a low CE, LOAD appears to override SCLR, contrary to what was said above. However, this is precisely as it should be, because SCLR has no effect when CE is low. Discussion of Restricted Counters The restricted counter option is implemented using an equality test rather than a greater-than-or-equal-to test. This means that if the counter somehow manages to skip past the c_count_to value it will keep going. As such, there are restrictions on allowable generics for restricted counters: c_has_iv must be 0. Variable increment is not possible with restricted counters. If this functionality is desired, it must be implemented in external logic. c_count_mode cannot be c_updown. c_has_sset and c_has_aset must be 0. Additionally, there are further restrictions which differ for up counters and down counters. Up counters Restricted up counters count up by c_count_by until Q = c_count_to. The clock cycle after Q = c_count_to, the counter resets to 0. There are two basic restrictions: c_count_to must be an integer multiple of c_count_by c_count_by must be less than or equal to c_count_to In addition, the values listed below must satisfy the following formulae: c_ainit_val, if AINIT is used c_sinit_val, if SINIT is used The power-on reset value (see Power-on Conditions) Any value loaded on the L data port. Down Counters Restricted down counters count down by c_count_by until Q = c_count_to. The clock cycle after Q = c_count_to, the counter resets to 0, and the counter continues counting down (wrapping around). There are two basic restrictions: must be an integer multiple of c_count_by c_count_by must be less than or equal to In addition, the values listed below must satisfy the following formulae: either c_ainit_val, if AINIT is used c_sinit_val, if SINIT is used? c_count_to or <value> = 0 The power-on reset value (see Power-on Conditions) Any value loaded on the L data port. Use of LOAD <value>? c_count_by = Integer 2 c_width c_count_to 2 c_width c_count_to <value>? c_count_to? 2 c_width <value>?? c_count_by = Integer <value> The counter BaseBlock can check on instantiation for sensible c_ainit_val, c_sinit_val and power-on reset value, but it cannot check the data loaded on the L data port. Because of this, erroneous values loaded will cause unexpected behavior in the counter. For example, if a counter is given c_count_to = 8 and c_count_by = 2, loading in 3 will cause it to count the odd numbers and completely miss the limit value. It is strongly recommended that LOAD is not used with restricted counters; if such functionality is required, use external logic to create a greater-than-or-equal-to test rather than an equal-to test, or make sure the counter is simple (count by 1) and that a value is never loaded beyond c_count_to. 6 DS215 April 28, 2005

7 Applications There are two methods to include a counter BaseBlock in your design. Method 1: GUI The CORE Generator system will produce several files when a core is generated. Instructions on how to instantiate a core generated by this method are automatically produced in the.vho file. Here is an example of a section of a.vho file -- The following code must appear in the VHDL architecture header: Begin Cut here for COMPONENT Declaration COMP_TAG component counter port ( clk: IN std_logic; q: OUT std_logic_vector(15 downto 0)); end component; -- COMP_TAG_END End COMPONENT Declaration -- The following code must appear in the VHDL architecture -- body. Substitute your own instance name and net names Begin Cut here for INSTANTIATION Template INST_TAG your_instance_name : counter port map ( clk => clk, q => q); -- INST_TAG_END End INSTANTIATION Template Method 2: Direct Instantiation Coregen now allows cores to be directly instantiated into user code. To do this, add the following lines to the head of your VHDL file Library Xilinxcorelib; Use Xilinxcorelib.c_counter_binary_v8_0_comp.all; and instantiate the counter with appropriate values for the generics and your local signals thus: i_my_counter: c_counter_binary_v8_0 generic map( ); c_width => 16, c_has_sclr => 1 port map( ); clk => clk, sclr => sclr, q => counter_output Note that generics do not need to be specified if the default value suits your application. Ordering Information This core may be downloaded from the Xilinx IP Center for use with the Xilinx CORE Generator system v7.1i and later. The CORE Generator system is bundled with the ISE Foundation software at no additional charge. -- You must compile the wrapper file counter.vhd when simulating -- the core, counter. When compiling the wrapper file, be -- sure to reference the XilinxCoreLib VHDL simulation -- library. For detailed -- instructions, please refer to the "CORE Generator Guide". DS215 April 28,

Binary Counter V3.0. Features

Binary Counter V3.0. Features November 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Product Specification Features Drop-in

More information

Discontinued IP. Distributed Memory v7.1. Functional Description. Features

Discontinued IP. Distributed Memory v7.1. Functional Description. Features 0 Distributed Memory v7.1 DS230 April 28, 2005 0 0 Features Drop-in module for Virtex, Virtex-E, Virtex-II, Virtex-II Pro, Virtex-4, Spartan -II, Spartan-IIE, and Spartan-3 FPGAs Generates ROMs, single/dual-port

More information

Multiplier Generator V6.0. Features

Multiplier Generator V6.0. Features November 1, 2002 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1

Sine/Cosine Look-Up Table v4.2. Features. Functional Description. θ = THETA radians 2 2 OUTPUT_WIDTH-1 OUTPUT_WIDTH-1 November 1, 2002 Product Specification Xilinx Inc. 20 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in

More information

Discontinued IP. Verification

Discontinued IP. Verification 0 3GPP2 Turbo Decoder v2.1 DS275 February 15, 2007 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Spartan-3A/3AN, Virtex -II, Virtex-II Pro, Virtex-4, and Virtex-5 FPGAs Implements the CDMA2000/3GPP2

More information

LogiCORE IP Multiply Adder v2.0

LogiCORE IP Multiply Adder v2.0 DS717 March 1, 2011 Introduction The Xilinx LogiCORE I Multiply Adder core provides implementations of multiply-add using XtremeDS slices. It performs a multiplication of two operands and adds (or subtracts)

More information

Documentation. Implementation Xilinx ISE v10.1. Simulation

Documentation. Implementation Xilinx ISE v10.1. Simulation DS317 September 19, 2008 Introduction The Xilinx LogiCORE IP Generator is a fully verified first-in first-out () memory queue for applications requiring in-order storage and retrieval. The core provides

More information

Data Side OCM Bus v1.0 (v2.00b)

Data Side OCM Bus v1.0 (v2.00b) 0 Data Side OCM Bus v1.0 (v2.00b) DS480 January 23, 2007 0 0 Introduction The DSOCM_V10 core is a data-side On-Chip Memory (OCM) bus interconnect core. The core connects the PowerPC 405 data-side OCM interface

More information

Utility Reduced Logic (v1.00a)

Utility Reduced Logic (v1.00a) DS482 December 2, 2009 Introduction The Utility Reduced Logic core applies a logic reduction function over an input vector to generate a single bit result. The core is intended as glue logic between peripherals.

More information

Utility Bus Split (v1.00a)

Utility Bus Split (v1.00a) DS484 December 2, 2009 Introduction The Utility Bus Split core splits a bus into smaller buses using the Xilinx Platform Studio (XPS). The core splits one input bus into two output buses which serve as

More information

LogiCORE IP FIFO Generator v6.1

LogiCORE IP FIFO Generator v6.1 DS317 April 19, 2010 Introduction The Xilinx LogiCORE IP FIFO Generator is a fully verified first-in first-out (FIFO) memory queue for applications requiring in-order storage and retrieval. The core provides

More information

LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b)

LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b) LogiCORE IP Device Control Register Bus (DCR) v2.9 (v1.00b) DS402 April 19, 2010 Introduction The Xilinx 32-Bit Device Control Register Bus (DCR), a soft IP core designed for Xilinx FPGAs, provides the

More information

OPB General Purpose Input/Output (GPIO) (v3.01b)

OPB General Purpose Input/Output (GPIO) (v3.01b) 0 OPB General Purpose Input/Output (GPIO) (v3.01b) DS466 August 29, 2006 0 0 Introduction This document describes the specifications for the General Purpose Input/Output (GPIO) core for the On Chip Processor

More information

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description

Discontinued IP. 3GPP2 Turbo Decoder v1.0. Features. Applications. General Description 0 3GPP2 Turbo Decoder v1.0 DS275 April 28, 2005 0 0 Features Drop-in module for Spartan -3, Spartan-3E, Virtex -II and Virtex-II Pro FPGAs Implements the CDMA2000/3GPP2 specification[1] Core contains the

More information

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim

Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim Using the Xilinx CORE Generator in Foundation ISE 3.1i with ModelSim Installing Foundation ISE, CORE Generator, and ModelSim Foundation ISE This section explains how to install the Xilinx Foundation ISE

More information

Instantiation. Verification. Simulation. Synthesis

Instantiation. Verification. Simulation. Synthesis 0 XPS Mailbox (v2.00a) DS632 June 24, 2009 0 0 Introduction In a multiprocessor environment, the processors need to communicate data with each other. The easiest method is to set up inter-processor communication

More information

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003

Summary. Introduction. Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro. XAPP152 (v2.1) September 17, 2003 Application Note: Virtex, Virtex-E, Spartan-IIE, Spartan-3, Virtex-II, Virtex-II Pro Xilinx Tools: The Estimator XAPP152 (v2.1) September 17, 2003 Summary This application note is offered as complementary

More information

Discontinued IP. OPB General Purpose Input/Output (GPIO) (v3.01b) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB General Purpose Input/Output (GPIO) (v3.01b) Introduction. Features. LogiCORE Facts 0 OPB General Purpose Input/Output (GPIO) (v3.01b) DS466 December 1, 2005 0 0 Introduction This document describes the specifications for the General Purpose Input/Output (GPIO) core for the On Chip Processor

More information

Synchronous FIFO V3.0. Features. Functional Description

Synchronous FIFO V3.0. Features. Functional Description Synchronous FIFO V3.0 October 4, 2001 Product Specification Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com

More information

3GPP Turbo Encoder v4.0

3GPP Turbo Encoder v4.0 DS319 June 24, 2009 Features Drop-in module for Virtex -4, Virtex-5, Virtex-6, Spartan -6, Spartan-3, and Spartan-3E FPGAs Implements the 3GPP/UMTS specification [Ref 1] [Ref 2] Core contains the full

More information

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a)

LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) DS646 June 22, 2011 LogiCORE IP ChipScope Pro Integrated Controller (ICON) (v1.05a) Introduction The LogiCORE IP ChipScope Pro Integrated CONtroller core (ICON) provides an interface between the JTAG Boundary

More information

LogiCORE IP Mailbox (v1.00a)

LogiCORE IP Mailbox (v1.00a) DS776 September 21, 2010 Introduction In a multiprocessor environment, the processors need to communicate data with each other. The easiest method is to set up inter-processor communication through a mailbox.

More information

Applications. Discontinued IP SYST_A SYST_B RDY BLK_START BLK_END RFFD. Figure 1: Pinout

Applications. Discontinued IP SYST_A SYST_B RDY BLK_START BLK_END RFFD. Figure 1: Pinout DS525 April 24, 2009 Features Drop-in module for Spartan -6, Spartan-3E, Spartan-3A/3A/3A DSP, Spartan-3, Virtex -6, Virtex-5 and Virtex-4 FPGAs Implements the IEEE 802.16e Wireless MA OFDMA PHY CTC encoder

More information

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation

LUTs. Block RAMs. Instantiation. Additional Items. Xilinx Implementation Tools. Verification. Simulation 0 PCI Arbiter (v1.00a) DS495 April 8, 2009 0 0 Introduction The PCI Arbiter provides arbitration for two to eight PCI master agents. Parametric selection determines the number of masters competing for

More information

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a)

LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) LogiCORE IP AXI Master Lite (axi_master_lite) (v1.00a) DS836 March 1, 2011 Introduction The AXI Master Lite is an AXI4-compatible LogiCORE IP product. It provides an interface between a user-created IP

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan XAPP562 (v1.1.1) April 20, 2007 Application Note: Virtex Series and Virtex-II Family Configurable LocalLink CC eference Design Author: Nanditha Jayarajan Summary The Cyclic edundancy Check (CC) is a checksum

More information

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan

Configurable LocalLink CRC Reference Design Author: Nanditha Jayarajan Application Note: Virtex Series and Virtex-II Family XAPP562 (v1.0) January 22, 2004 Configurable LocalLink eference Design Author: Nanditha Jayarajan Summary The Cyclic edundancy Check () is a powerful

More information

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie

Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie Application Note: All Virtex and Spartan FPGA Families XAPP551 (1.0) February 14, 2005 R Viterbi Decoder Block Decoding - Trellis Termination and Tail Biting Authors: Bill Wilkie and Beth Cowie Summary

More information

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk XAPP670 (v.0) June 0, 2003 Application Note: Virtex-II Pro Family Minimizing eceiver Elastic Buffer Delay in the Virtex-II Pro ocketio Transceiver Author: Jeremy Kowalczyk Summary This application note

More information

XST User Guide

XST User Guide XST User Guide R 2005 Xilin, Inc. All Rights Reserved. XILINX, the Xilin logo, and other designated brands included herein are trademarks of Xilin, Inc. All other trademarks are the property of their respective

More information

LogiCORE IP Initiator/Target v5 and v6 for PCI-X

LogiCORE IP Initiator/Target v5 and v6 for PCI-X LogiCORE IP Initiator/Target v5 and v6 for PCI-X DS208 April 19, 2010 Introduction The LogiCORE IP Initiator/Target v5 and v6 for PCI -X core interface is a pre-implemented and fully tested module for

More information

CCE 3202 Advanced Digital System Design

CCE 3202 Advanced Digital System Design CCE 3202 Advanced Digital System Design Lab Exercise #2 This lab exercise will show you how to create, synthesize, and test a 3-bit ripple counter. A ripple counter is simply a circuit that outputs the

More information

Asynchronous FIFO V3.0. Features. Synchronization and Timing Issues. Functional Description

Asynchronous FIFO V3.0. Features. Synchronization and Timing Issues. Functional Description November 3, 2000 Xilinx Inc. 2100 Logic Drive San Jose, CA 95124 Phone: +1 408-559-7778 Fax: +1 408-559-7114 URL: www.xilinx.com/ipcenter Support: support.xilinx.com Features Drop-in module for Virtex,

More information

Virtex-5 GTP Aurora v2.8

Virtex-5 GTP Aurora v2.8 0 DS538 October 10, 2007 0 0 Introduction The Virtex -5 GTP Aurora core implements the Aurora protocol using the high-speed serial GTP transceivers in Virtex-5 LXT and SXT devices. The core can use up

More information

Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang

Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang Application Note: Virtex-II and Virtex-II Pro Families XAPP691 (v1.0.1) May 10, 2007 R Parameterizable LocalLink FIFO Author: Wen Ying Wei, Dai Huang Summary This application note describes the implementation

More information

Interfacing a PS/2 Keyboard

Interfacing a PS/2 Keyboard Lab 3 in SMD52 Interfacing a PS/2 Keyboard Introduction In this lab you will interface a PS/2 keyboard (standard PC keyboard) with the XSB board. Scan codes will be received from the keyboard and displayed

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

LogiCORE IP Serial RapidIO v5.6

LogiCORE IP Serial RapidIO v5.6 DS696 March 1, 2011 Introduction The LogiCORE IP Serial RapidIO Endpoint solution comprises a highly flexible and optimized Serial RapidIO Physical Layer core and a Logical (I/O) and Transport Layer interface.

More information

DCR Interrupt Controller (v2.00a)

DCR Interrupt Controller (v2.00a) DS429 April 24, 2009 Introduction A DCR (Device Control Register Bus v29) Interrupt Controller (INTC) core is composed of a bus-centric wrapper containing the INTC core and a DCR interface. The INTC core

More information

Supported Device Family (1) Supported User Interfaces. Simulation Model. Design Entry Tools. Simulation

Supported Device Family (1) Supported User Interfaces. Simulation Model. Design Entry Tools. Simulation LogiCORE IP Interleaver/De-Interleaver v6.0 DS250 March 1, 2011 Introduction The interleaver/de-interleaver core is appropriate for any application that requires data to be rearranged in an interleaved

More information

Hierarchical Design Using Synopsys and Xilinx FPGAs

Hierarchical Design Using Synopsys and Xilinx FPGAs White Paper: FPGA Design Tools WP386 (v1.0) February 15, 2011 Hierarchical Design Using Synopsys and Xilinx FPGAs By: Kate Kelley Xilinx FPGAs offer up to two million logic cells currently, and they continue

More information

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4

Virtex-6 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.4 DS710 April 19, 2010 Introduction The LogiCORE IP Virtex -6 FPGA Embedded Tri- Mode Ethernet MAC Wrapper automates the generation of HDL wrapper files for the Embedded Tri- Mode Ethernet MAC (Ethernet

More information

EL 310 Hardware Description Languages Midterm

EL 310 Hardware Description Languages Midterm EL 3 Hardware Description Languages Midterm 2 3 4 5 Total Name: ID : Notes: ) Please answer the questions in the provided space after each question. 2) Duration is minutes 3) Closed books and closed notes.

More information

Xilinx 7 Series FPGA Libraries Guide for HDL Designs. UG768 (v 13.4) January 18, 2012

Xilinx 7 Series FPGA Libraries Guide for HDL Designs. UG768 (v 13.4) January 18, 2012 Xilinx 7 Series FPGA Libraries Guide for HDL Designs UG768 (v 13.4) January 18, 2012 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely

More information

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh XAPP7 (v.2) July 2, 25 Application te: Virtex-4 Family Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh Summary This application note describes a dynamic phase alignment (DPA) application

More information

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7

Virtex-5 FPGA Embedded Tri-Mode Ethernet MAC Wrapper v1.7 DS550 April 19, 2010 Virtex-5 FPGA Embedded Tri-Mode Wrapper v1.7 Introduction The LogiCORE IP Virtex -5 FPGA Embedded Tri-Mode Wrapper automates the generation of HDL wrapper files for the Embedded Tri-Mode

More information

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL

DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL Arid Zone Journal of Engineering, Technology and Environment. August, 2013; Vol. 9, 17-26 DESIGN AND IMPLEMENTATION OF MOD-6 SYNCHRONOUS COUNTER USING VHDL Dibal, P.Y. (Department of Computer Engineering,

More information

HDL Coding Style Xilinx, Inc. All Rights Reserved

HDL Coding Style Xilinx, Inc. All Rights Reserved HDL Coding Style Objective After completing this module, you will be able to: Select a proper coding style to create efficient FPGA designs Specify Xilinx resources that need to be instantiated for various

More information

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012

Vivado Design Suite 7 Series FPGA Libraries Guide. UG953 (v ) July 25, 2012 Vivado Design Suite 7 Series FPGA Libraries Guide UG953 (v 2012.2) July 25, 2012 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and

More information

Hardware Design with VHDL Design Example: BRAM ECE 443

Hardware Design with VHDL Design Example: BRAM ECE 443 BRAM There are two sources of memory available on most FPGA boards. Internal (on-chip memory) External SRAMs and DRAMs. Internal memory is either distributed (from the LUTs) or block (dedicated on-chip

More information

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs

Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs Xilinx 7 Series FPGA and Zynq-7000 All Programmable SoC Libraries Guide for HDL Designs UG768 (v14.7) October 2, 2013 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is

More information

OPB Universal Serial Bus 2.0 Device (v1.00a)

OPB Universal Serial Bus 2.0 Device (v1.00a) OPB Universal Serial Bus 2. Device (v1.a) DS591 May 1, 27 Introduction The Xilinx Universal Serial Bus 2. High Speed Device with On-chip Peripheral Bus (OPB) enables USB connectivity to the user s design

More information

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide

Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Intel Arria 10 Native Floating- Point DSP Intel FPGA IP User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1...3 1.1 Parameterizing the Intel Arria 10 Native Floating-Point

More information

QPRO Family of XC1700E Configuration PROMs

QPRO Family of XC1700E Configuration PROMs 11 QPRO Family of XC1700E Configuration PROMs Product Specification Features Configuration one-time programmable (OTP) read-only memory designed to store configuration bitstreams of Xilinx FPGA devices

More information

QPro XQ17V16 Military 16Mbit QML Configuration PROM

QPro XQ17V16 Military 16Mbit QML Configuration PROM R 0 QPro XQ17V16 Military 16Mbit QML Configuration PROM DS111 (v1.0) December 15, 2003 0 8 Product Specification Features 16Mbit storage capacity Guaranteed operation over full military temperature range:

More information

TUTORIAL: USING THE COREGEN APPLICATION TO GENERATIE VHDL CODE

TUTORIAL: USING THE COREGEN APPLICATION TO GENERATIE VHDL CODE EECS:6660:0xxField Programmable Gate Arrays tut_coregen - 1 TUTORIAL: USING THE COREGEN APPLICATION TO GENERATIE VHDL CODE 1. INTRODUCTION The Xilinx Integrated Software Environment (ISE) provides an application

More information

Discontinued IP. 3GPP Searcher v1.0. Introduction. Features. System Overview

Discontinued IP. 3GPP Searcher v1.0. Introduction. Features. System Overview 0 3GPP Searcher v1.0 DS628 August 8, 2007 0 0 Introduction The 3GPP Searcher core is a highly integrated solution for identifying the multiple transmission paths of users in a 3GPP uplink. The core includes

More information

LogiCORE IP Soft Error Mitigation Controller v1.1

LogiCORE IP Soft Error Mitigation Controller v1.1 LogiCORE IP Soft Error Mitigation Controller v1.1 DS796 September 21, 2010 Introduction The LogiCORE IP Soft Error Mitigation (SEM) Controller is an automatically configured, pre-verified solution to detect

More information

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques

FSM Components. FSM Description. HDL Coding Methods. Chapter 7: HDL Coding Techniques FSM Components XST features: Specific inference capabilities for synchronous Finite State Machine (FSM) components. Built-in FSM encoding strategies to accommodate your optimization goals. You may also

More information

Using Library Modules in VHDL Designs

Using Library Modules in VHDL Designs Using Library Modules in VHDL Designs This tutorial explains how Altera s library modules can be included in VHDL-based designs, which are implemented by using the Quartus R II software. Contents: Example

More information

Discontinued IP. OPB Interrupt Controller (v1.00c) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB Interrupt Controller (v1.00c) Introduction. Features. LogiCORE Facts 0 OPB Interrupt Controller (v1.00c) DS473 December 1, 2005 0 0 Introduction An Interrupt Controller is composed of a bus-centric wrapper containing the IntC core and a bus interface. The IntC core is a

More information

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George XAPP688 (v1.2) May 3, 2004 R Application Note: Virtex-II Families Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George Summary Designing high-speed

More information

Channel FIFO (CFIFO) (v1.00a)

Channel FIFO (CFIFO) (v1.00a) 0 Channel FIFO (CFIFO) (v1.00a) DS471 April 24, 2009 0 0 Introduction The Channel FIFO (CFIFO) contains separate write (transmit) and read (receive) FIFO designs called WFIFO and RFIFO, respectively. WFIFO

More information

Using Library Modules in VHDL Designs

Using Library Modules in VHDL Designs Using Library Modules in VHDL Designs This tutorial explains how Altera s library modules can be included in VHDL-based designs, which are implemented by using the Quartus R II software. Contents: Example

More information

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 13.1) March 1, 2011

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 13.1) March 1, 2011 Spartan-6 Libraries Guide for HDL Designs UG615 (v 13.1) March 1, 2011 Xilinx is disclosing this user guide, manual, release note, and/or specification (the Documentation ) to you solely for use in the

More information

University of Technology

University of Technology University of Technology Lecturer: Dr. Sinan Majid Course Title: microprocessors 4 th year Lecture 13 Counters Overview Counters are important components in computers The increment or decrement by one

More information

XiNES Design Document. XiNES is a Nintendo Entertainment System simulator coded in pure VHDL

XiNES Design Document. XiNES is a Nintendo Entertainment System simulator coded in pure VHDL XiNES Design Document William Blinn (wb169@columbia.edu) David Coulthart (davec@columbia.edu) Jay Fernandez (jjf112@columbia.ed) Neel Goyal (neel@columbia.edu) Jeffrey Lin (jlin@columbia.edu) XiNES is

More information

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0

Using ModelSim to Simulate Logic Circuits in VHDL Designs. 1 Introduction. For Quartus II 13.0 Using ModelSim to Simulate Logic Circuits in VHDL Designs For Quartus II 13.0 1 Introduction This tutorial is a basic introduction to ModelSim, a Mentor Graphics simulation tool for logic circuits. We

More information

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 14.1) April 24, 2012

Spartan-6 Libraries Guide for HDL Designs. UG615 (v 14.1) April 24, 2012 Spartan-6 Libraries Guide for HDL Designs UG615 (v 14.1) April 24, 2012 tice of Disclaimer The information disclosed to you hereunder (the "Materials") is provided solely for the selection and use of Xilinx

More information

Building Crosspoint Switches with CoolRunner-II CPLDs

Building Crosspoint Switches with CoolRunner-II CPLDs Application Note: Coolunner-II CPLD XAPP0 (v1.0) June 5, 2002 Building Crosspoint Switches with Coolunner-II CPLDs Summary This application note provides a functional description of VHDL source code for

More information

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC

ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC ISim Hardware Co-Simulation Tutorial: Processing Live Ethernet Traffic through Virtex-5 Embedded Ethernet MAC UG819 (v 13.1) March 18, 2011 Xilinx is disclosing this user guide, manual, release note, and/or

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

ISE In-Depth Tutorial. UG695 (v13.1) March 1, 2011

ISE In-Depth Tutorial. UG695 (v13.1) March 1, 2011 ISE In-Depth Tutorial The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not assume any liability arising from

More information

Using Library Modules in VHDL Designs. 1 Introduction. For Quartus II 12.1

Using Library Modules in VHDL Designs. 1 Introduction. For Quartus II 12.1 Using Library Modules in VHDL Designs For Quartus II 12.1 1 Introduction This tutorial explains how Altera s library modules can be included in VHDL-based designs, which are implemented by using the Quartus

More information

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace Introduction White Paper Between Altera Classic Timing Analyzer and Xilinx Trace Most hardware designers who are qualifying FPGA performance normally run bake-off -style software benchmark comparisons

More information

Lattice VHDL Training

Lattice VHDL Training Lattice Part I February 2000 1 VHDL Basic Modeling Structure February 2000 2 VHDL Design Description VHDL language describes a digital system as a set of modular blocks. Each modular block is described

More information

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering

EENG 2910 Project III: Digital System Design. Due: 04/30/2014. Team Members: University of North Texas Department of Electrical Engineering EENG 2910 Project III: Digital System Design Due: 04/30/2014 Team Members: University of North Texas Department of Electrical Engineering Table of Content i Contents Abstract...3 Introduction...3 Report...4

More information

Implementing Multipliers in Xilinx Virtex II FPGAs

Implementing Multipliers in Xilinx Virtex II FPGAs HUNT ENGINEERING Chestnut Court, Burton Row, Brent Knoll, Somerset, TA9 4BP, UK Tel: (+44) (0)1278 760188, Fax: (+44) (0)1278 760199, Email: sales@hunteng.co.uk http://www.hunteng.co.uk http://www.hunt-dsp.com

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Application Note: Virtex-4 Family XAPP702 (v1.8) April 23, 2007 DD2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Summary DD2 SDAM devices offer new features that surpass the DD SDAM specifications

More information

LogiCORE IP Fast Fourier Transform v7.1

LogiCORE IP Fast Fourier Transform v7.1 LogiCORE IP Fast Fourier Transform v7.1 DS260 April 19, 2010 Introduction The Xilinx LogiCORE IP Fast Fourier Transform (FFT) implements the Cooley-Tukey FFT algorithm, a computationally efficient method

More information

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett

Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett XAPP977 (v1.1) June 1, 2007 R Application Note: Embedded Processing Reference System: Determining the Optimal DCM Phase Shift for the DDR Feedback Clock for Spartan-3E Author: Ed Hallett Abstract This

More information

XPS UART Lite (v1.01a)

XPS UART Lite (v1.01a) 0 DS571 April 19, 2010 0 0 Introduction The XPS Universal Asynchronous Receiver Transmitter (UART) Lite Interface connects to the PLB (Processor Local Bus) and provides the controller interface for asynchronous

More information

Discontinued IP. OPB Arbiter (v1.02e) Introduction. Features. LogiCORE Facts

Discontinued IP. OPB Arbiter (v1.02e) Introduction. Features. LogiCORE Facts 0 DS469 September 23, 2005 0 0 Introduction The On-Chip Peripheral Bus (OPB) Arbiter design described in this document incorporates the features contained in the IBM On-chip Peripheral Bus Arbiter Core

More information

Discontinued IP. Slices. LUTs. FFs. Block RAMs. Instantiation. Xilinx Implementation Tools

Discontinued IP. Slices. LUTs. FFs. Block RAMs. Instantiation. Xilinx Implementation Tools 0 PLB Central DMA Controller (v1.00a) DS493 March 12, 2007 0 0 Introduction The PLB Central DMA Controller provides simple Direct Memory Access (DMA) services to peripherals and memory devices on the PLB.

More information

On the Fly Reconfiguration with CoolRunner-II CPLDs

On the Fly Reconfiguration with CoolRunner-II CPLDs Application Note: CoolRunner-II CPLDs XAPP388 (v1.2) May 15, 2003 R On the Fly Reconfiguration with CoolRunner-II CPLDs Summary This application notes describes the CoolRunner -II CPLD capability called

More information

32-Bit Initiator/Target v3 & v4 for PCI

32-Bit Initiator/Target v3 & v4 for PCI om 0 32-Bit Initiator/Target v3 & v4 for PCI DS206 September 19, 2008 0 0 Features Fully compliant 32-bit, 66/33 MHz Initiator/Target core for PCI Customizable, programmable, single-chip solution Pre-defined

More information

Pollard s Tutorial on Clocked Stuff in VHDL

Pollard s Tutorial on Clocked Stuff in VHDL Pollard s Tutorial on Clocked Stuff in VHDL Welcome to a biased view of how to do register type of stuff in VHDL. The object of this short note is to identify one way to easily handle registered logic

More information

Laboratory Exercise 8

Laboratory Exercise 8 Laboratory Exercise 8 Memory Blocks In computer systems it is necessary to provide a substantial amount of memory. If a system is implemented using FPGA technology it is possible to provide some amount

More information

Synthesis Options FPGA and ASIC Technology Comparison - 1

Synthesis Options FPGA and ASIC Technology Comparison - 1 Synthesis Options Comparison - 1 2009 Xilinx, Inc. All Rights Reserved Welcome If you are new to FPGA design, this module will help you synthesize your design properly These synthesis techniques promote

More information

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI)

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) VLSI LAB MANUAL ECE DEPARTMENT Introduction to VHDL It is a hardware description language that can be used to model a digital system

More information

Virtual Input/Output v3.0

Virtual Input/Output v3.0 Virtual Input/Output v3.0 LogiCORE IP Product Guide Vivado Design Suite Table of Contents IP Facts Chapter 1: Overview Feature Summary..................................................................

More information

Discontinued IP. Block RAMs

Discontinued IP. Block RAMs PLB General Purpose Input/Output (GPIO) (v1.b) S486 September 15, 25 Introduction This document describes the specifications for the General Purpose Input/Output (GPIO) core for the Processor Local Bus

More information

Sequential Logic - Module 5

Sequential Logic - Module 5 Sequential Logic Module 5 Jim Duckworth, WPI 1 Latches and Flip-Flops Implemented by using signals in IF statements that are not completely specified Necessary latches or registers are inferred by the

More information

PlanAhead Software Tutorial

PlanAhead Software Tutorial PlanAhead Software Tutorial RTL Design and IP Generation The information disclosed to you hereunder (the Information ) is provided AS-IS with no warranty of any kind, express or implied. Xilinx does not

More information

Reference System: MCH OPB EMC with OPB Central DMA Author: Sundararajan Ananthakrishnan

Reference System: MCH OPB EMC with OPB Central DMA Author: Sundararajan Ananthakrishnan Application Note: Embedded Processing XAPP923 (v1.2) June 5, 2007 eference System: MCH OPB EMC with OPB Central DMA Author: Sundararajan Ananthakrishnan Summary This application note demonstrates the use

More information