Co-Simulation of Hybrid SDL and VHDL Specifications

Size: px
Start display at page:

Download "Co-Simulation of Hybrid SDL and VHDL Specifications"

Transcription

1 Co-Simulation of Hybrid SDL and VHDL Specifications Maciej Wasowski, Dorota Witaszek, Joachim Fischer, Eckhardt Holz, Stefanie Lau, Olaf Kath Humboldt-University of Berlin - Department of Computer Science Unter den Linden 6, Berlin wasowski@informatik.hu-berlin.de, witaszek@informatik.hu-berlin.de Abstract The co-simulation is the chosen method within the ESPRIT Project INSYDE for the validation of hybrid SDL and VHDL specifications. This paper presents a concept for the integrated SDL and VHDL simulator and its realization in C++. The INSYDE concept for the co-simulation is based on the connection of two existing commercial simulators by the Coupling Module. The Integrated Simulator presented in this document aims at studying the functionality of this Coupling Module and the general principles of co-simulation. 1 INTRODUCTION In the past years methods for the design of hybrid hardware/software systems have been searched for and investigated intensively. The development of such a method is the main objective of the INSYDE project. Stages in the INSYDE methodology are analysis, system design, detailed design and validation [5]. OMT is chosen as the analysis notation technique. The languages for the detailed design are SDL and VHDL. The method chosen for the validation of hybrid SDL and VHDL specifications is the Co-Simulation. In the following, SDL, VHDL and the SDL/VHDL Co-Simulation as a validation technique, are briefly presented (the detailed presentation is given in [5]. The formal specification language SDL is an international standard language, which was developed by the CCITT for the description of complex distributed communication systems [2]. By means of SDL it is possible to describe both the structure of a system and the system behaviour on different abstraction levels. The behaviour description is based on the concept of extended finite state machines communicating asynchronously by message exchange. The data description concepts of SDL base on algebraic data types. SDL'92 [3] is an objectoriented extension of SDL. The new facilities of SDL'92 result from a strict distinction between types (classes) and instances (objects). VHDL (Very High Speed Integrated Circuit Hardware Description Language) is technique for the design and the description of hardware systems [7]. In December 1987 VHDL formally became the IEEE (Institute of Electrical and Electronic Engineers) standard hardware description language (IEEE-STD-1076). VHDL was consciously based on the ADA language. Many features, such as the use of packages, basic syntax, etc. were taken from ADA. The language supports hierarchical description of hardware from system to gate Co-Simulation of Hybrid SDL and VHDL Specifications 1 of 11

2 or even switch level. 1.1 Purposes of the Validation of Hybrid SDL and VHDL Specifications Two aspects have to be investigated by the validation: validation of the self-consistency of the specification (e.g. absence of deadlocks, stability in error cases) validation of consistency with other specifications (on other stages of the development process or w.r.t. requirements or environment specifications) The validation of a system specification/description comprises two stages, the check of syntactical and semantical correctness and the proof that the specification fulfils the requirements which are imposed on the system. In the scope of SDL and VHDL there are two main approaches implemented in tools: Specification Execution (Prototyping, Next-Event-Simulation, etc.) State-Space-Exploration (Proof checker, Petri-Net-Analyser, etc.) The State-Space-Exploration is an exhaustive method, i.e. all possible situations which may occur during any execution of the specification will be considered. The specification execution on the other side is a non-exhaustive method. It will select only some (usually typical and important) situations and will verify that the specified behaviour is indeed the intended behaviour. Although the non-exhaustiveness is a major drawback of the simulation, this method is the only one which can be applied to real life specifications. All techniques based on a statespace exploration suffer from the state explosion problem. The capacities needed for the application of such a method are so large that they exceed the capabilities of the computer systems. 1.2 Approaches for the Validation of hybrid VHDL and SDL Specifications The following three techniques for a validation of hybrid SDL/VHDL specifications were investigated in the INSYDE project ([4]): Translation Approach:Transformation of the hybrid specification into a homogeneous specification using only one specification language i.e. translation of the SDL parts to VHDL or translation of the VHDL parts to SDL. Single Base Approach: Use of dedicated simulators for each language basing on a common underlying simulation systems. A single simulator or a physical distributed simulator are possible. Heterogeneous Approach: Use of two specialized off-the-shelf simulators, which cooperate for the simulation of the whole specification and communicate through a special interface. In the INSYDE Methodology the validation phase is realized by a co-simulation of the hybrid system specification (Heterogeneous Approach): An SDL simulator (GEODE) and a VHDL simulator (an component of the Mentor Graphics toolset) are used to simulate the SDL and VHDL part of the system specification. Both simulators are connected by a special Coupling Module. The Integrated Simulator (Single Base Approach) is an experimental variant, which aims at studying the functionality of this Coupling Module and the general principles of co-simulation Co-Simulation of Hybrid SDL and VHDL Specifications 2 of 11

3 2 REQUIREMENTS ON A SDL/VHDL CO-SIMULATION In the following the problems, which have to be solved in order to realize the cosimulation of hybrid SDL/VHDL specifications are considered. These problems are related to the general problems of the simulator s coupling and to the semantical divergence between both languages. Location of Coupling Module The special Coupling Module is required for the connection between the SDL parts and the VHDL parts of the system specification. One must decide: which language structures should be connected by the Coupling Module. The possible solutions are e.g.: SDL process with VHDL process or SDL block with VHDL entity. whether one central Coupling Module for the whole hybrid specification, or one Coupling Module for each pair of SDL and VHDL structures which communicate with each other should exist. Information Exchange SDL as well as VHDL use the term signal to denote information carriers. However the signal concept as well as the data type concept are different in each language. The SDL signals can be seen as messages that are exchanged between processes. The VHDL signals have hardware significance and are more static wires between hardware components rather than dynamical messages. The SDL concept of abstract data types (ACT ONE) is open, i.e. each data type has an interface part (a signature), which defines which literals and operators can be used for this data type and how to use them, and a behaviour part, that defines the semantics of the operators and literals. Furthermore, the user can specify new abstract data types using another data type specification technique such as ASN.1. VHDL does not support concepts of abstract data types. There are four groups of data types in VHDL: scalar types, composite types (arrays, records), access types (pointers) and file types. In order to make the exchange of information possible between SDL and VHDL parts of the hybrid specification, the method has to be defined so that it provides: the semantical transformation of SDL signals into VHDL signals (and vice versa), and the transformation of information carried by the SDL signals (i.e. the SDL data types) into VHDL data types (and vice versa). Synchronization Mechanisms In SDL the communication is realized by signal exchange that is asynchronous in comparison to VHDL where the signal exchange is synchronous. Therefore, an algorithm for the signal exchange between SDL and VHDL parts has to be defined. The next problem to be solved is the progress of the simulation time. In VHDL the time model is an internal one, as compared to SDL, where the time model is external. The SDL time semantics allows for different (semantically correct) realizations of the time progress. In order to make the co-simulation possible, the common time progress model has to be defined so that it does not contradict either the SDL nor the VHDL time semantics. Specification Requirements In SDL time can only be accessed by the predefined expression NOW, e.g. by setting of timers. The delay time of a signal transmission is arbitrary. For each value, that represents Co-Simulation of Hybrid SDL and VHDL Specifications 3 of 11

4 time in SDL expressions, it has to be declared, what time unit will be used for this value. The signal assignments in VHDL can be specified with any delay. The unit of time is Nunoseconds. The consumption of time has to be introduced into the SDL part during the simulation in order to make the activation of VHDL components possible. The time consumption can be introduced for the transport of signals by channels and/or execution of transitions. 3 The Integrated SDL and VHDL Simulation The co-simulation has to be considered at two levels - the language level and the simulator level (cf. Fig 1). The language level comprises an SDL specification part and a VHDL specification part both with additional definitions (replacement units). A replacement unit expresses in a very abstract way the existence of the VHDL (SDL) part in the SDL (VHDL) specification in form of an interface description. At the language level the signal exchange between both parts is described abstractly. The simulator level describes the implementation concepts, i.e. the connection between the VHDL signals defined in the replacement unit of the SDL part and the SDL signals defined in the replacement unit of the VHDL part as well as the realization of the synchronization of the simulators. Introducing replacement units at the language level allows the separate simulation and verification of the SDL and VHDL part. If the interface between both parts is not modified the separate development and refinement of one part does not influence the other part. SDL Part Replacement Block for the VHDL Part Replacement entity for the SDL Part VHDL Part Language Level SDL Part Coupling Module VHDL Part Simulator Level Coupling Library FIGURE 1. The Architecture of a Hybrid SDL and VHDL Specification on the Language and Simulator Level Moreover, the resulting specifications do not depend on the realization of the co-simulation. 3.1 The Language Level In the INSYDE Methodology the whole hybrid specification as well as the replacement units are semi-automatically generated from the OMT system description [4][5]. At the language level the signal exchange between the SDL part and the VHDL part is specified abstractly, i.e. it is considered which signals are exchanged, but it is not important, how they Co-Simulation of Hybrid SDL and VHDL Specifications 4 of 11

5 are sent/received. The semantics of signal exchange between SDL and VHDL part are defined at the simulator level. The SDL part and the VHDL part can be considered as separate specifications. For that reason at the language level in each specification appears a replacement unit which abstractly models that part of the system which is specified in the respective other language (SDL or VHDL). The following paragraphs give an overview of the approach of modelling the replacement units for the hybrid specification, that is presented in Fig 2. SDLs1 VHDLs1 SDL SDLsk SDLr1 Coupling Module VHDLsk VHDLr1 VHDL SDLrk VHDLrk FIGURE 2. An Example of the Signal Exchange between SDL and VHDL part Modelling the VHDL part in SDL. In the SDL specification the VHDL part is modelled by one block (cf. Fig. 3) with two local processes: the process to_vhdl (cf. Fig. 4), which abstractly models the receiving of signals from the SDL part and the sending of signals to the VHDL part, and the process from_vhdl (cf. Fig. 4), which abstractly models the receiving of signals from the VHDL part and the sending of signals to the SDL part. Block Replacement Module remote VARs1 TYPEs1 nodelay,...,varsk TYPEsk nodelay, VARr1 TYPEr1 nodelay,...,varr2 TYPErk nodelay SDLs1,...,SDLsk to_vhdl(1,1) SDLr1,...SDLrk from_vhdl(1,1) FIGURE 3. The Specification in SDL of the Block Representing the VHDL Part It is assumed, that all signals, which the SDL part sends to this block, should be sent to the VHDL part. Both processes work concurrently. From the SDL point of view the VHDL signals can be seen as exported variables. The block contains variables corresponding to all signals sent to the VHDL part and to all signals, which can be received from the VHDL part. Co-Simulation of Hybrid SDL and VHDL Specifications 5 of 11

6 These variables are defined as remote nodelay variables (exported and imported) to express, that they represent something outside the SDL part, available without any delay. The assignment of a new value to a VHDL signal is represented by the assignment of the new value to the corresponding SDL variable and the export of this new value. Process to_vhdl; dcl exported VARr1 TYPEr1,..., VARrk TYPErk; dcl exported VARs1 TYPEs1,...,VARsk TYPEsk; start; state wait; input SDLs1(VARs1); export(vars1);... input SDLsk(VARsk); export(varsk); stop; Process from_vhdl; dcl imported VARr1 TYPEr1,...,VARrk TYPErk; dcl old_varr1 TYPEr1,... old_varrk TYPErk; dcl imported VARs1 TYPEs1,..., VARsk TYPEsk; start; task old_varr1:= import(varr1);... task old_varrk:= import(varrk); state wait; provided old_varr1 /= import(varr1); task old_varr1:= import(varr1); output SDLr1(old_VARr1);.. ṗrovided old_varrk /= import(varrk); task old_varrk:= import(varrk); output SDLrk(old_VARrk); stop; FIGURE 4. The Specification in SDL of the Processes within the Block Representing the VHDL Part Modelling the SDL part in VHDL. In the VHDL specification the SDL part is represented by one entity with an architecture containing concurrent signal assignments, which write/read the VHDL signals connected to the SDL specification (cf. Fig. 5). It is assumed, that all signals, sent by the VHDL part to this entity, shall be sent to the SDL part. The port of the entity contains the VHDL signals, which the VHDL part sends to the SDL part (in-signals), and VHDL signals, which the VHDL part receives from the SDL part (out-signals). The SDL signals are represented by local VHDL signals in the architecture of the entity (to express that they represent something from outside the VHDL part): For each SDL signal, which can be sent/received to/from the SDL part, a local VHDL signal in the architecture of the entity is declared. entity replacementofsdl is port ( VHDLs1: out T1; VHDLsk: out T2; VHDLr1: in T3; VHDLrk: in T4 ) end replacementofsdl; architecture behav of replacementofsdl is signal locs1: T5; signal locsk: T6; signal locr1: T7; signal locrk: T8; begin -- concurrent signal assignments VHDLs1 <= locs1; VHDLsk <= locsk; locr1 <= VHDLr1; locrk <= VHDLrk; end behav; FIGURE 5. The Specification in VHDL of the Entity Representing the SDL Part The reception of VHDL signals and their sending to the SDL part are represented by concurrent signal assignments. The target of such an assignment is a local VHDL signal representing the SDL signal, the expression is the corresponding in-signal in the port of the Co-Simulation of Hybrid SDL and VHDL Specifications 6 of 11

7 entity. Similarly, the reception of SDL signals and their sending to the VHDL part are represented in VHDL by concurrent signal assignments. The target of such an assignment is the outsignal in the port of the entity, the expression is the local VHDL signal representing the SDL signal. All the signal assignments are specified with delta delay. 3.2 Simulator Level The concept for the Integrated SDL/VHDL simulator derives from the Single Base Approach, introduced in [4], where a common basic simulation system is proposed, supporting the simulation of VHDL as well as of SDL specifications. The implementation of a simulation system in a programming language, requires an appropriate process management realized in this language. For that reason ODEM (Object Oriented Discrete Event Modelling [1]) has been used as underlying Basic Simulation System. ODEM can be used for quasi-parallel execution of programs described as a set of parallel processes. It provides a simulation clock modul as well as a scheduler. For the simulation of SDL 92 specifications an SDL Runtime system was developed [6]. In order to simulate the VHDL specifications a separate VHDL Runtime System was implemented. Both Systems base on ODEM and can be used as separate Simulators. The Integrated Simulation System for SDL and VHDL is implemented in C++ and consist of the SDL Runtime System, the VHDL Runtime System, and a Coupling Module (cf. Fig. 6) and provides: models for all SDL and VHDL constructs with their structure and behaviour, and models for the communication between SDL and VHDL parts. VHDL Runtime Library ODEM Coupling Module SDL Runtime Library The Central List of Active System Components VHDL Process t 1 SDL Process t 2 SDL Timer t 3 VHDL Process t 4 FIGURE 6. The Architecture of the Integrated SDL and VHDL Simulation System The simulation method will be characterized by a pseudo-parallel execution of active system components: SDL processes, SDL channels, SDL timers, VHDL processes, and VHDL signals. The global clock module will be used for the synchronization of the time progress by the different components The SDL Runtime System The SDL Runtime Library supports all constructs of SDL [6]. Most of the corresponding C++ types are derived from basic types defined in the process library ODEM. Thus the Co-Simulation of Hybrid SDL and VHDL Specifications 7 of 11

8 simulation of a SDL specification can be executed in the simulated-time-mode and base on the discrete process oriented next event simulation technique. The active objects in SDL are processes, channels and timers. The SDLprocess class is a subclass of the ODEM-class process and defines a general behaviour of an process of SDL. The SDLprocess class is a base class for all classes representing specified SDL processes. Specific behaviour - a state-transition-graph of a SDL process is coded in derivations of the SDLprocess class in a redefined virtual function. Classes for SDL timers and channels are defined as derivations of the ODEM-class timer The VHDL Runtime System The VHDL Runtime System contains the structures/classes, which are the foundation for different VHDL structures and handles the execution of the VHDL behaviour in simulation time. Most of the correspondind C++ types are derived from basic types defined in the process library ODEM. The following C++ classes are base classes for VHDL structures: Class entity for entities (classes for architectures are derivated from the corresponding entity classes) Class VHDL_process for processes; VHDL_Process is derived from the ODEM class process; Classes which represent VHDL processes are containers of corresponding classes for architectures. Class Signal for signals (base class of signal is the ODEM class timer) The Coupling Module The Coupling Module realizes the cooperation between the SDL part and the VHDL part of the system. For that reason it contains two interfaces: one to the SDL part, and one to the VHDL part. The SDL interface is an C++ structure which represents an SDL block; the VHDL interface is a C++ structure which represents a VHDL entity (cf. Fig. 7). SDL block VHDL entity Data type Transformation Synchronisation process SDL gates VHDL port FIGURE 7. The Architecture of the Coupling Module The Coupling Module is responsible for: the connection between SDL and VHDL part, the exchange of information between SDL and VHDL part (including the transformation of data types), and the synchronization between SDL and VHDL part. Co-Simulation of Hybrid SDL and VHDL Specifications 8 of 11

9 Connection between SDL and VHDL part The connection of SDL and VHDL part is a realisation of signal connections defined in the replacement units. Information Exchange The information exchange between both languages is realized by the exchange of signals between an SDL block and a VHDL entity. Discrete SDL signals, which are sent sequentially, have to be constructed from continuous VHDL signals, which are sent simultaneously, and vice versa. For all signal types, which can be transformed automatically from one language into the other, the appropriate transformation function will be defined. For other signal types the designer can define such a transformation (cf. Fig. 8). Set SDL data types Integer Time DataType Predefined transformation functions VHDL data types Time Integer Record User-defined transformation functions FIGURE 8. The Representation of Data Types in C++ for SDL and VHDL. Synchronization Since the VHDL specification represents hardware parts of the system which work faster than the software parts described in SDL, at any point of the simulation time first the VHDL parts are activated (i.e. VHDL active statements are executed). After the VHDL simulation cycle has been completed i.e. the VHDL signals get stable state, they can be delivered to the SDL part. The SDL part always has to wait until the VHDL part has been executed. All signals, that appear in the Coupling Module from the SDL part, are immediately sent to the VHDL part, and vice versa, i.e. the signals are passed without any time delay. 4 An Example for the Hybrid SDL and VHDL Specification In this paragraph a small example for a hybrid SDL and VHDL specification and its cosimulation is presented. 4.1 Description The VHDL-part is fulladder which works on the bit-level (cf. Fig. 9). It is specified as an entity with corresponding architecture. This architecture contains three components (two halfadder and an or-gate) which are specified also as entities with corresponding Co-Simulation of Hybrid SDL and VHDL Specifications 9 of 11

10 architecture. A B Halfadder U0 Temp_sum Carry Halfadder U1 Temp_carry_2 A+B Temp_carry_1 Orgate U2 Carry_out FIGURE 9. The Structure of the Fulladder The SDL-part comprises two SDL-blocks (cf. Fig. 10). Each of them contains one SDL process. The SDL process SDL-proc1 sends signals A, B, and Carry to the entity fulladder. After the sending of these signals the process waits until the signal add_ok from the SDLproc2 is received. Then the SDL-proc1 starts again. The SDL process SDL-proc2 in the block SDL-block-2 waits for the signals A+B and Carry-out which are sent by the entity fulladder. Then it sends the signal add_ok to the SDL-process SDL-proc1 in the first SDLblock. SDL-block-1 Coupling Module SDL-proc1 A B Carry to-vhdl A B VHDL-processes A B add_ok Carry Carry fulladder SDL-block-2 SDL-proc2 A+B Carry_out from-vhdl A+B Carry_out A+B Carry_out SDL-Block VHDL-entity SDL-blocks Containerclass, including an SDL-Blocks VHDL-entity and a VHDL-entity SDL-signals VHDL-signals FIGURE 10. An Example of Hybrid SDL and VHDL Specification 5 Conclusions The Single Base Approach as an experimental variant for the SDL and VHDL co-simulation aims at studying the functionality of the Coupling Module and the general principles of co-simulation. Since the SDL and VHDL Runtime Systems which are developed at the Humboldt University are used for this purpose, the complete C++ code of both Systems is accessible and their interfaces can be used to implement the Coupling Module. In this case the whole implementation can be tested with C++ development tools as debugger etc. Moreover, most commercial simulators do not provide the possibility of accessing the internal simulation clock. Co-Simulation of Hybrid SDL and VHDL Specifications 10 of 11

11 The coupling of the SDL simulator GEODE, and a VHDL simulator which is an component of the Mentor Graphics toolset, required the consideration of additional aspects related to the distributed simulations. However, the co-simulation s principles as signal exchange and synchronisation, which are investigated and implemented in the Single Base Approach can be used. The theoretical problems for the co-simulation have already been solved. Currently the implementation and examples are tested. Acknowledgements This work was supported by the European Community within the ESPRIT III Project 8641 INSYDE. We want to thank our project partners, especially Jean-Philippe Delpiroux and Nicolas Dervaux, for their helpful discussions and contributions. References [1] K. Ahrens, J. Fischer, D. Witaszek: Object-oriented simulation of processes in C++ (in german). Informatik-Preprint of the Humboldt-University Berlin, [2] CCITT: CCITT Specification and Description Language SDL, Recommendation Z.100 (Blue Book). Genf [3] CCITT: CCITT Specification and Description Language SDL, Recommendation Z.100 (SDL 92). Genf [4] E. Holz, D. Witaszek, M. Wasowski, S.Lau, J. Fischer, P. Roques, L. Cuypers, V. Mariatos, N. Kyrloglou: INSYDE Deliverable D1.1: Technology Assessment. ESPRIT P8641 Report, [5] E. Holz, D. Witaszek, M. Wasowski, S.Lau, J. Fischer, P. Roques, L. Cuypers, V. Mariatos, N. Kyrloglou, J. Heirbaut, K. Verschaeve, V. Jonckers: INSYDE Deliverable D1.1: Ad - Hoc Document. ESPRIT P8641 Report, [6] J. Fischer, E. Holz, M. v. Löwis, D. Witaszek: A Run Time Library for the Simulation of SDL 92 - Specifications. Proceedings of the Sixth SDL Forum Darmstadt, Germany, October, [7] IEEE: IEEE Standard VHDL Language Reference Manual. IEEE standard [8] Z. Navabi: VHDL Analysis and Modeling of Digital Systems. McGraw-Hill, Inc., Co-Simulation of Hybrid SDL and VHDL Specifications 11 of 11

SIMULATING SDL USING SITE

SIMULATING SDL USING SITE SIMULATING SDL USING SITE KEYWORDS Telecommunications, Model evaluation, Interactive simulation, Real-time simulation ABSTRACT This paper presents a tool chain to compile SDL specifications into simulators.

More information

Discrete Event Models

Discrete Event Models 12 Discrete Event Models Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2016 年 11 月 08 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Specification and design of distributed embedded middleware applications with SDL Dr. Eckhardt Holz. Humboldt-Universität zu Berlin

Specification and design of distributed embedded middleware applications with SDL Dr. Eckhardt Holz. Humboldt-Universität zu Berlin Specification and design of distributed embedded middleware applications with SDL-2000 Dr. Eckhardt Holz Humboldt-Universität zu Berlin SDL-2000 ITU-T Specification and Description Language graphical language

More information

Łabiak G., Miczulski P. (IIE, UZ, Zielona Góra, Poland)

Łabiak G., Miczulski P. (IIE, UZ, Zielona Góra, Poland) UML STATECHARTS AND PETRI NETS MODEL COMPARIS FOR SYSTEM LEVEL MODELLING Łabiak G., Miczulski P. (IIE, UZ, Zielona Góra, Poland) The system level modelling can be carried out with using some miscellaneous

More information

Object-Oriented Hardware Modelling Where to apply and what are the objects? 1

Object-Oriented Hardware Modelling Where to apply and what are the objects? 1 Object-Oriented Hardware Modelling Where to apply and what are the objects? 1 Wolfgang Nebel OFFIS Escherweg 2 D-26121 Oldenburg, Germany nebel@offis.uni-oldenburg.de Guido Schumacher FB 10 Department

More information

Liveness and Fairness Properties in Multi-Agent Systems

Liveness and Fairness Properties in Multi-Agent Systems Liveness and Fairness Properties in Multi-Agent Systems Hans-Dieter Burkhard FB Informatik Humboldt-University Berlin PF 1297, 1086 Berlin, Germany e-mail: hdb@informatik.hu-berlin.de Abstract Problems

More information

ETSI ETR 346 TECHNICAL December 1996 REPORT

ETSI ETR 346 TECHNICAL December 1996 REPORT ETSI ETR 346 TECHNICAL December 1996 REPORT Source: ETSI TC-RES Reference: DTR/RES-06013-1 ICS: 33.020 Key words: Testing, TTCN, abstract test suite, validation Radio Equipment and Systems (RES); Trans-European

More information

Discrete Event Models

Discrete Event Models 12 Discrete Event Models Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 Germany Springer, 2010 2014 年 10 月 28 日 These slides use Microsoft clip arts. Microsoft copyright

More information

Distributed Systems Programming (F21DS1) Formal Verification

Distributed Systems Programming (F21DS1) Formal Verification Distributed Systems Programming (F21DS1) Formal Verification Andrew Ireland Department of Computer Science School of Mathematical and Computer Sciences Heriot-Watt University Edinburgh Overview Focus on

More information

DISCRETE-event dynamic systems (DEDS) are dynamic

DISCRETE-event dynamic systems (DEDS) are dynamic IEEE TRANSACTIONS ON CONTROL SYSTEMS TECHNOLOGY, VOL. 7, NO. 2, MARCH 1999 175 The Supervised Control of Discrete-Event Dynamic Systems François Charbonnier, Hassane Alla, and René David Abstract The supervisory

More information

Composability Test of BOM based models using Petri Nets

Composability Test of BOM based models using Petri Nets I. Mahmood, R. Ayani, V. Vlassov and F. Moradi 7 Composability Test of BOM based models using Petri Nets Imran Mahmood 1, Rassul Ayani 1, Vladimir Vlassov 1, and Farshad Moradi 2 1 Royal Institute of Technology

More information

SDL Code Generation for Open Systems

SDL Code Generation for Open Systems SDL Code Generation for Open Systems Joachim Fischer 1, Toby Neumann 1, and Anders Olsen 2 1 Humboldt-Universität zu Berlin Institut für Informatik Unter den Linden 6 10099 Berlin, Germany {fischer tneumann}@informatik.hu-berlin.de

More information

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department. Entities, Architectures, and Coding.

2/14/2016. Hardware Synthesis. Midia Reshadi. CE Department.   Entities, Architectures, and Coding. Hardware Synthesis MidiaReshadi CE Department Science and research branch of Islamic Azad University Email: ce.srbiau@gmail.com Midia Reshadi 1 Chapter 2 Entities, Architectures, and Coding Styles Midia

More information

Integrating SysML and OWL

Integrating SysML and OWL Integrating SysML and OWL Henson Graves Lockheed Martin Aeronautics Company Fort Worth Texas, USA henson.graves@lmco.com Abstract. To use OWL2 for modeling a system design one must be able to construct

More information

LabVIEW Based Embedded Design [First Report]

LabVIEW Based Embedded Design [First Report] LabVIEW Based Embedded Design [First Report] Sadia Malik Ram Rajagopal Department of Electrical and Computer Engineering University of Texas at Austin Austin, TX 78712 malik@ece.utexas.edu ram.rajagopal@ni.com

More information

Abstract formula. Net formula

Abstract formula. Net formula { PEP { More than a Petri Net Tool ABSTRACT Bernd Grahlmann and Eike Best The PEP system (Programming Environment based on Petri Nets) supports the most important tasks of a good net tool, including HL

More information

Specifications Part 3

Specifications Part 3 pm4 12 Specifications Part 3 Embedded System Design Kluwer Academic Publisher by Peter Marwedel TU Dortmund 2008/11/15 ine Marwedel, 2003 Graphics: Alexandra Nolte, Ges Models of computation considered

More information

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) -

5. VHDL - Introduction - 5. VHDL - Design flow - 5. VHDL - Entities and Architectures (1) - 5. VHDL - Entities and Architectures (2) - Sistemas Digitais I LESI - 2º ano Lesson 5 - VHDL Prof. João Miguel Fernandes (miguel@di.uminho.pt) Dept. Informática - Introduction - VHDL was developed, in the mid-1980s, by DoD and IEEE. VHDL stands

More information

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization

EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization EECS 144/244: Fundamental Algorithms for System Modeling, Analysis, and Optimization Dataflow Lecture: SDF, Kahn Process Networks Stavros Tripakis University of California, Berkeley Stavros Tripakis: EECS

More information

The UPPAAL Model Checker. Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN

The UPPAAL Model Checker. Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN The UPPAAL Model Checker Julián Proenza Systems, Robotics and Vision Group. UIB. SPAIN The aim of this presentation Introduce the basic concepts of model checking from a practical perspective Describe

More information

A graphical user interface for service adaptation

A graphical user interface for service adaptation A graphical user interface for service adaptation Christian Gierds 1 and Niels Lohmann 2 1 Humboldt-Universität zu Berlin, Institut für Informatik, Unter den Linden 6, 10099 Berlin, Germany gierds@informatik.hu-berlin.de

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

What Is VHDL? VHSIC (Very High Speed Integrated Circuit) Hardware Description Language IEEE 1076 standard (1987, 1993)

What Is VHDL? VHSIC (Very High Speed Integrated Circuit) Hardware Description Language IEEE 1076 standard (1987, 1993) What Is VHDL? VHSIC (Very High Speed Integrated Circuit) Hardware Description Language IEEE 1076 standard (1987, 1993) Only possible to synthesize logic from a subset of VHDL Subset varies according to

More information

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund

SDL. Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 年 10 月 18 日. technische universität dortmund 12 SDL Jian-Jia Chen (slides are based on Peter Marwedel) TU Dortmund, Informatik 12 2017 年 10 月 18 日 Springer, 2010 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models

More information

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD. HARDWARE DESCRIPTION Mehran M. Massoumi, HDL Research & Development, Averant Inc., USA Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic

More information

Analog Mixed Signal Extensions for SystemC

Analog Mixed Signal Extensions for SystemC Analog Mixed Signal Extensions for SystemC White paper and proposal for the foundation of an OSCI Working Group (SystemC-AMS working group) Karsten Einwich Fraunhofer IIS/EAS Karsten.Einwich@eas.iis.fhg.de

More information

Fiona A Tool to Analyze Interacting Open Nets

Fiona A Tool to Analyze Interacting Open Nets Fiona A Tool to Analyze Interacting Open Nets Peter Massuthe and Daniela Weinberg Humboldt Universität zu Berlin, Institut für Informatik Unter den Linden 6, 10099 Berlin, Germany {massuthe,weinberg}@informatik.hu-berlin.de

More information

By: Chaitanya Settaluri Devendra Kalia

By: Chaitanya Settaluri Devendra Kalia By: Chaitanya Settaluri Devendra Kalia What is an embedded system? An embedded system Uses a controller to perform some function Is not perceived as a computer Software is used for features and flexibility

More information

T : Protocol Design

T : Protocol Design T-110.300: Protocol Design Protocol Design Theory Methods Protocol Engineering Process (PEP) Timo.Kyntaja@vtt.fi 1 (154) Motivation Telecom systems engineering is a huge industry networks, terminals, services

More information

SCOS-2000 Technical Note

SCOS-2000 Technical Note SCOS-2000 Technical Note MDA Study Prototyping Technical Note Document Reference: Document Status: Issue 1.0 Prepared By: Eugenio Zanatta MDA Study Prototyping Page: 2 Action Name Date Signature Prepared

More information

Chapter 2 Overview of the Design Methodology

Chapter 2 Overview of the Design Methodology Chapter 2 Overview of the Design Methodology This chapter presents an overview of the design methodology which is developed in this thesis, by identifying global abstraction levels at which a distributed

More information

Flight Systems are Cyber-Physical Systems

Flight Systems are Cyber-Physical Systems Flight Systems are Cyber-Physical Systems Dr. Christopher Landauer Software Systems Analysis Department The Aerospace Corporation Computer Science Division / Software Engineering Subdivision 08 November

More information

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design

Verilog. What is Verilog? VHDL vs. Verilog. Hardware description language: Two major languages. Many EDA tools support HDL-based design Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two major languages Verilog (IEEE 1364), latest version is

More information

From Models to Components. Rapid Service Creation with

From Models to Components. Rapid Service Creation with From Models to Components Rapid Service Creation with Marc Born, Olaf Kath {born kath}@ikv.de Evolutions in Software Construction C O M P L E X I T Y Model Driven Architectures Meta Object Facility and

More information

FSMs & message passing: SDL

FSMs & message passing: SDL 12 FSMs & message passing: SDL Peter Marwedel TU Dortmund, Informatik 12 Springer, 2010 2012 年 10 月 30 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Models of computation

More information

SysteMoC. Verification and Refinement of Actor-Based Models of Computation

SysteMoC. Verification and Refinement of Actor-Based Models of Computation SysteMoC Verification and Refinement of Actor-Based Models of Computation Joachim Falk, Jens Gladigau, Christian Haubelt, Joachim Keinert, Martin Streubühr, and Jürgen Teich {falk, haubelt}@cs.fau.de Hardware-Software-Co-Design

More information

IEEE LANGUAGE REFERENCE MANUAL Std P1076a /D3

IEEE LANGUAGE REFERENCE MANUAL Std P1076a /D3 LANGUAGE REFERENCE MANUAL Std P1076a-1999 2000/D3 Clause 10 Scope and visibility The rules defining the scope of declarations and the rules defining which identifiers are visible at various points in the

More information

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4:

VHDL Basics. Mehdi Modarressi. Department of Electrical and Computer Engineering, University of Tehran. ECE381(CAD), Lecture 4: ECE381(CAD), Lecture 4: VHDL Basics Mehdi Modarressi Department of Electrical and Computer Engineering, University of Tehran Some slides are taken (with modifications) from ECE-448 of GMU Outline An introduction

More information

Embedded Systems CS - ES

Embedded Systems CS - ES Embedded Systems - 1 - REVIEW Hardware/System description languages VDHL VHDL-AMS SystemC TLM - 2 - VHDL REVIEW Main goal was modeling of digital circuits Modelling at various levels of abstraction Technology-independent

More information

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals

A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals A Framework for the Design of Mixed-Signal Systems with Polymorphic Signals Rüdiger Schroll *1) Wilhelm Heupke *1) Klaus Waldschmidt *1) Christoph Grimm *2) *1) Technische Informatik *2) Institut für Mikroelektronische

More information

Refinement and Formalization of Semi-Formal Use Case Descriptions

Refinement and Formalization of Semi-Formal Use Case Descriptions Refinement and Formalization of Semi-Formal Use Case Descriptions Matthias Riebisch, Michael Hübner Ilmenau Technical University Max-Planck-Ring 14; 98684 Ilmenau; Germany {matthias.riebisch michael.huebner}@tu-ilmenau.de

More information

Rule Formats for Nominal Modal Transition Systems

Rule Formats for Nominal Modal Transition Systems Rule Formats for Nominal Modal Transition Systems Anke Stüber Universitet Uppsala, Uppsala, Sweden anke.stuber@it.uu.se Abstract. Modal transition systems are specification languages that allow the expression

More information

Hardware/Software Co-design

Hardware/Software Co-design Hardware/Software Co-design Zebo Peng, Department of Computer and Information Science (IDA) Linköping University Course page: http://www.ida.liu.se/~petel/codesign/ 1 of 52 Lecture 1/2: Outline : an Introduction

More information

0. Overview of this standard Design entities and configurations... 5

0. Overview of this standard Design entities and configurations... 5 Contents 0. Overview of this standard... 1 0.1 Intent and scope of this standard... 1 0.2 Structure and terminology of this standard... 1 0.2.1 Syntactic description... 2 0.2.2 Semantic description...

More information

Petri Nets. Petri Nets. Petri Net Example. Systems are specified as a directed bipartite graph. The two kinds of nodes in the graph:

Petri Nets. Petri Nets. Petri Net Example. Systems are specified as a directed bipartite graph. The two kinds of nodes in the graph: System Design&Methodologies Fö - 1 System Design&Methodologies Fö - 2 Petri Nets 1. Basic Petri Net Model 2. Properties and Analysis of Petri Nets 3. Extended Petri Net Models Petri Nets Systems are specified

More information

CS232 VHDL Lecture. Types

CS232 VHDL Lecture. Types CS232 VHDL Lecture VHSIC Hardware Description Language [VHDL] is a language used to define and describe the behavior of digital circuits. Unlike most other programming languages, VHDL is explicitly parallel.

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements

EECE-4740/5740 Advanced VHDL and FPGA Design. Lecture 3 Concurrent and sequential statements EECE-4740/5740 Advanced VHDL and FPGA Design Lecture 3 Concurrent and sequential statements Cristinel Ababei Marquette University Department of Electrical and Computer Engineering Overview Components hierarchy

More information

From MDD back to basic: Building DRE systems

From MDD back to basic: Building DRE systems From MDD back to basic: Building DRE systems, ENST MDx in software engineering Models are everywhere in engineering, and now in software engineering MD[A, D, E] aims at easing the construction of systems

More information

Evolution of Real-Time TTCN Testing based on Prioritised Scheduling

Evolution of Real-Time TTCN Testing based on Prioritised Scheduling Evolution of Real-Time TTCN Testing based on Prioritised Scheduling CKavadias*, ALitke*, GThanos*, VKollias* and WSkelton** *TELETEL SA; 124, Kifisias Avenue, Athens, GREECE Tel: + 30 1 6983393; Fax: +30

More information

Modeling, Testing and Executing Reo Connectors with the. Reo, Eclipse Coordination Tools

Modeling, Testing and Executing Reo Connectors with the. Reo, Eclipse Coordination Tools Replace this file with prentcsmacro.sty for your meeting, or with entcsmacro.sty for your meeting. Both can be found at the ENTCS Macro Home Page. Modeling, Testing and Executing Reo Connectors with the

More information

1. true / false By a compiler we mean a program that translates to code that will run natively on some machine.

1. true / false By a compiler we mean a program that translates to code that will run natively on some machine. 1. true / false By a compiler we mean a program that translates to code that will run natively on some machine. 2. true / false ML can be compiled. 3. true / false FORTRAN can reasonably be considered

More information

Parallel Graph Transformation for Model Simulation applied to Timed Transition Petri Nets

Parallel Graph Transformation for Model Simulation applied to Timed Transition Petri Nets Electronic Notes in Theoretical Computer Science 109 (2004) 17 29 www.elsevier.com/locate/entcs Parallel Graph Transformation for Model Simulation applied to Timed Transition Petri Nets J. de Lara a,1,

More information

Specification of Behavioural Requirements within Compositional Multi-Agent System Design

Specification of Behavioural Requirements within Compositional Multi-Agent System Design Specification of Behavioural Requirements within Compositional Multi-Agent System Design Daniela E. Herlea 1, Catholijn M. Jonker 2, Jan Treur 2, Niek J.E. Wijngaards 1,2 1 University of Calgary, Software

More information

SCHOOL: a Small Chorded Object-Oriented Language

SCHOOL: a Small Chorded Object-Oriented Language SCHOOL: a Small Chorded Object-Oriented Language S. Drossopoulou, A. Petrounias, A. Buckley, S. Eisenbach { s.drossopoulou, a.petrounias, a.buckley, s.eisenbach } @ imperial.ac.uk Department of Computing,

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

CODING TCPN MODELS INTO THE SIMIO SIMULATION ENVIRONMENT

CODING TCPN MODELS INTO THE SIMIO SIMULATION ENVIRONMENT CODING TCPN MODELS INTO THE SIMIO SIMULATION ENVIRONMENT Miguel Mujica (a), Miquel Angel Piera (b) (a,b) Autonomous University of Barcelona, Faculty of Telecommunications and Systems Engineering, 08193,

More information

StateClock: a Tool for Timed Reactive Modules

StateClock: a Tool for Timed Reactive Modules StateClock: a Tool for Timed Reactive Modules Jonathan S. Ostroff Department Of Computer Science, York University, Toronto, Canada, M3J 1P3. Email: jonathan@yorku.ca Abstract: We provide an overview of

More information

How useful is the UML profile SPT without Semantics? 1

How useful is the UML profile SPT without Semantics? 1 How useful is the UML profile SPT without Semantics? 1 Susanne Graf, Ileana Ober VERIMAG 2, avenue de Vignate - F-38610 Gières - France e-mail:{susanne.graf, Ileana.Ober}@imag.fr http://www-verimag.imag.fr/~{graf,iober}

More information

Exercise Unit 2: Modeling Paradigms - RT-UML. UML: The Unified Modeling Language. Statecharts. RT-UML in AnyLogic

Exercise Unit 2: Modeling Paradigms - RT-UML. UML: The Unified Modeling Language. Statecharts. RT-UML in AnyLogic Exercise Unit 2: Modeling Paradigms - RT-UML UML: The Unified Modeling Language Statecharts RT-UML in AnyLogic Simulation and Modeling I Modeling with RT-UML 1 RT-UML: UML Unified Modeling Language a mix

More information

Introduction to Software Specifications and Data Flow Diagrams. Neelam Gupta The University of Arizona

Introduction to Software Specifications and Data Flow Diagrams. Neelam Gupta The University of Arizona Introduction to Software Specifications and Data Flow Diagrams Neelam Gupta The University of Arizona Specification A broad term that means definition Used at different stages of software development for

More information

Transient Analysis Of Stochastic Petri Nets With Interval Decision Diagrams

Transient Analysis Of Stochastic Petri Nets With Interval Decision Diagrams Transient Analysis Of Stochastic Petri Nets With Interval Decision Diagrams Martin Schwarick ms@informatik.tu-cottbus.de Brandenburg University of Technology Cottbus, Germany Abstract. This paper presents

More information

Simulation of AADL models with software-in-the-loop execution

Simulation of AADL models with software-in-the-loop execution Simulation of AADL models with software-in-the-loop execution Denis Buzdalov Institute for System Programming of Russian Academy of Sciences Moscow, Russia buzdalov@ispras.ru ABSTRACT In this paper we

More information

Turn Indicator Model Overview

Turn Indicator Model Overview Turn Indicator Model Overview Jan Peleska 1, Florian Lapschies 1, Helge Löding 2, Peer Smuda 3, Hermann Schmid 3, Elena Vorobev 1, and Cornelia Zahlten 2 1 Department of Mathematics and Computer Science

More information

AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS

AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS AN OBJECT-ORIENTED VISUAL SIMULATION ENVIRONMENT FOR QUEUING NETWORKS Hussam Soliman Saleh Al-Harbi Abdulkader Al-Fantookh Abdulaziz Al-Mazyad College of Computer and Information Sciences, King Saud University,

More information

On The Theoretical Foundation for Data Flow Analysis in Workflow Management

On The Theoretical Foundation for Data Flow Analysis in Workflow Management Association for Information Systems AIS Electronic Library (AISeL) AMCIS 2005 Proceedings Americas Conference on Information Systems (AMCIS) 2005 On The Theoretical Foundation for Data Flow Analysis in

More information

Hardware, Software and Mechanical Cosimulation for Automotive Applications

Hardware, Software and Mechanical Cosimulation for Automotive Applications Hardware, Software and Mechanical Cosimulation for Automotive Applications P. Le Marrec, C.A. Valderrama, F. Hessel, A.A. Jerraya TIMA Laboratory 46 Avenue Felix Viallet 38031 Grenoble France fphilippe.lemarrec,

More information

3.4 Deduction and Evaluation: Tools Conditional-Equational Logic

3.4 Deduction and Evaluation: Tools Conditional-Equational Logic 3.4 Deduction and Evaluation: Tools 3.4.1 Conditional-Equational Logic The general definition of a formal specification from above was based on the existence of a precisely defined semantics for the syntax

More information

A DEVS LIBRARY FOR LAYERED QUEUING NETWORKS

A DEVS LIBRARY FOR LAYERED QUEUING NETWORKS A DEVS LIBRARY FOR LAYERED QUEUING NETWORKS Dorin B. Petriu and Gabriel Wainer Department of Systems and Computer Engineering Carleton University, 1125 Colonel By Drive Ottawa, Ontario K1S 5B6, Canada.

More information

Process Modelling using Petri Nets

Process Modelling using Petri Nets Process Modelling using Petri Nets Katalina Grigorova Abstract: This paper discusses the reasons, which impose Petri nets as a conceptual standard for modelling and analysis of workflow. Petri nets notation

More information

Model-Based Testing: an Approach with SDL/RTDS and DIVERSITY

Model-Based Testing: an Approach with SDL/RTDS and DIVERSITY Model-Based Testing: an Approach with SDL/RTDS and DIVERSITY {julien.deltour,emmanuel.gaudin} @pragmadev.com {alain.faivre,arnault.lapitre} @cea.fr PragmaDev SAM 2014 French SME, Created in 2001 by 2 experts

More information

Cover Page. The handle holds various files of this Leiden University dissertation

Cover Page. The handle   holds various files of this Leiden University dissertation Cover Page The handle http://hdl.handle.net/1887/22891 holds various files of this Leiden University dissertation Author: Gouw, Stijn de Title: Combining monitoring with run-time assertion checking Issue

More information

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07

fakultät für informatik informatik 12 technische universität dortmund Modeling levels Peter Marwedel TU Dortmund, Informatik /11/07 12 Peter Marwedel TU Dortmund, Informatik 12 2009/11/07 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Modeling levels Levels of hardware modeling Possible set of levels (others exist) System level Algorithmic

More information

Simulation and Verification of Timed and Hybrid Systems

Simulation and Verification of Timed and Hybrid Systems Simulation and Verification of Timed and Hybrid Systems Bert van Beek and Koos Rooda Systems Engineering Group Eindhoven University of Technology ISC 2007 Delft 11 June 2007 Bert van Beek and Koos Rooda

More information

Techniques for the unambiguous specification of software

Techniques for the unambiguous specification of software Formal Techniques for the unambiguous of software Objectives To explain why formal techniques help discover problems in system requirements To describe the use of algebraic techniques for interface To

More information

1 Introduction to. Languages and Notations. Chapter

1 Introduction to. Languages and Notations. Chapter 1 Introduction to Languages and Notations This chapter begins with a brief introduction to SDL; the language, its history, its main concepts and application areas. Next follows an introduction to the MSC

More information

Structure of Abstract Syntax trees for Colored Nets in PNML

Structure of Abstract Syntax trees for Colored Nets in PNML Structure of Abstract Syntax trees for Colored Nets in PNML F. Kordon & L. Petrucci Fabrice.Kordon@lip6.fr Laure.Petrucci@lipn.univ-paris13.fr version 0.2 (draft) June 26, 2004 Abstract Formalising the

More information

Specifications and Modeling

Specifications and Modeling 12 Specifications and Modeling Peter Marwedel TU Dortmund, Informatik 12 Springer, 2010 2012 年 10 月 17 日 These slides use Microsoft clip arts. Microsoft copyright restrictions apply. Hypothetical design

More information

ISO compliant verification of functional requirements in the model-based software development process

ISO compliant verification of functional requirements in the model-based software development process requirements in the model-based software development process Hans J. Holberg SVP Marketing & Sales, BTC Embedded Systems AG An der Schmiede 4, 26135 Oldenburg, Germany hans.j.holberg@btc-es.de Dr. Udo

More information

Hierarchical FSMs with Multiple CMs

Hierarchical FSMs with Multiple CMs Hierarchical FSMs with Multiple CMs Manaloor Govindarajan Balasubramanian Manikantan Bharathwaj Muthuswamy (aka Bharath) Reference: Hierarchical FSMs with Multiple Concurrency Models. Alain Girault, Bilung

More information

A Formal Resolution Strategy for Operation-Based Conflicts in Model Versioning Using Graph Modifications

A Formal Resolution Strategy for Operation-Based Conflicts in Model Versioning Using Graph Modifications A Formal Resolution Strategy for Operation-Based Conflicts in Model Versioning Using Graph Modifications Hartmut Ehrig 1, Claudia Ermel 1 and Gabriele Taentzer 2 1 Technische Universität Berlin, Germany

More information

A Metamodel for SDL-2000 in the Context of Metamodelling ULF

A Metamodel for SDL-2000 in the Context of Metamodelling ULF A Metamodel for SDL-2000 in the Context of Metamodelling ULF Joachim Fischer, Michael Piefel, and Markus Scheidgen Humboldt Universität zu Berlin Institut für Informatik Unter den Linden 6 0099 Berlin,

More information

TIMES A Tool for Modelling and Implementation of Embedded Systems

TIMES A Tool for Modelling and Implementation of Embedded Systems TIMES A Tool for Modelling and Implementation of Embedded Systems Tobias Amnell, Elena Fersman, Leonid Mokrushin, Paul Pettersson, and Wang Yi Uppsala University, Sweden. {tobiasa,elenaf,leom,paupet,yi}@docs.uu.se.

More information

Synthesis of Combinational and Sequential Circuits with Verilog

Synthesis of Combinational and Sequential Circuits with Verilog Synthesis of Combinational and Sequential Circuits with Verilog What is Verilog? Hardware description language: Are used to describe digital system in text form Used for modeling, simulation, design Two

More information

Chapter 4. Capturing the Requirements. 4th Edition. Shari L. Pfleeger Joanne M. Atlee

Chapter 4. Capturing the Requirements. 4th Edition. Shari L. Pfleeger Joanne M. Atlee Chapter 4 Capturing the Requirements Shari L. Pfleeger Joanne M. Atlee 4th Edition It is important to have standard notations for modeling, documenting, and communicating decisions Modeling helps us to

More information

RTL Implementation. Introduction to Structured VLSI Design. Concurrent Statements and Processes. Combinational and Sequential Logic.

RTL Implementation. Introduction to Structured VLSI Design. Concurrent Statements and Processes. Combinational and Sequential Logic. RTL Implementation 32 Introduction to Structured VLSI Design Recap on Processes, Signals, and Variables A Y Y=A*B+C B C 48 Joachim Rodrigues We have complete control (active chioice) over the registers:

More information

HYBRID PETRI NET MODEL BASED DECISION SUPPORT SYSTEM. Janetta Culita, Simona Caramihai, Calin Munteanu

HYBRID PETRI NET MODEL BASED DECISION SUPPORT SYSTEM. Janetta Culita, Simona Caramihai, Calin Munteanu HYBRID PETRI NET MODEL BASED DECISION SUPPORT SYSTEM Janetta Culita, Simona Caramihai, Calin Munteanu Politehnica University of Bucharest Dept. of Automatic Control and Computer Science E-mail: jculita@yahoo.com,

More information

Mixed-Signal Extensions for SystemC

Mixed-Signal Extensions for SystemC Mixed-Signal Extensions for SystemC K. Einwich Ch. Grimm P. Schwarz K. Waldschmidt Fraunhofer IIS/EAS Univ. Frankfurt Zeunerstraße 38 Robert-Mayer-Straße 11-15 D-01069 Dresden, Germany D-60054 Frankfurt,

More information

Imperative model of computation

Imperative model of computation 12 Imperative model of computation Jian-Jia Chen (Slides are based on Peter Marwedel) Informatik 12 TU Dortmund Germany Springer, 2010 2016 年 11 月 09 日 These slides use Microsoft clip arts. Microsoft copyright

More information

SECTION 1 FAMILIARIZATION WITH VHDL

SECTION 1 FAMILIARIZATION WITH VHDL SECTION 1 FAMILIARIZATION WITH VHDL Page Introduction 1.2 Overview of VHDL 1.7 VHDL design units 1.7 Description styles 1.10 Model organization 1.20 Packages 1.25 Signals and delays 1.28 Attributes 1.29

More information

Implementation support tools for OSI application layer protocols. Gregor v. Bochmann, Daniel Ouimet Université de Montréal. and

Implementation support tools for OSI application layer protocols. Gregor v. Bochmann, Daniel Ouimet Université de Montréal. and 1 Implementation support tools for OSI application layer protocols Gregor v. Bochmann, Daniel Ouimet Université de Montréal and Gerald Neufeld University of British Columbia Abstract Formal specifications

More information

CalFuzzer: An Extensible Active Testing Framework for Concurrent Programs Pallavi Joshi 1, Mayur Naik 2, Chang-Seo Park 1, and Koushik Sen 1

CalFuzzer: An Extensible Active Testing Framework for Concurrent Programs Pallavi Joshi 1, Mayur Naik 2, Chang-Seo Park 1, and Koushik Sen 1 CalFuzzer: An Extensible Active Testing Framework for Concurrent Programs Pallavi Joshi 1, Mayur Naik 2, Chang-Seo Park 1, and Koushik Sen 1 1 University of California, Berkeley, USA {pallavi,parkcs,ksen}@eecs.berkeley.edu

More information

From message queue to ready queue

From message queue to ready queue ERCIM Workshop on Dependable Software Intensive Embedded systems In cooperation with EUROMICRO 2005 Porto, Portrugal From message queue to ready queue Case study of a small, dependable synchronous blocking

More information

Hardware-Software Codesign. 6. System Simulation

Hardware-Software Codesign. 6. System Simulation Hardware-Software Codesign 6. System Simulation Lothar Thiele 6-1 System Design specification system simulation (this lecture) (worst-case) perf. analysis (lectures 10-11) system synthesis estimation SW-compilation

More information

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08

fakultät für informatik informatik 12 technische universität dortmund Data flow models Peter Marwedel TU Dortmund, Informatik /10/08 12 Data flow models Peter Marwedel TU Dortmund, Informatik 12 2009/10/08 Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Models of computation considered in this course Communication/ local computations

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

A Fault Model for VHDL Descriptions at the Register Transfer Level *

A Fault Model for VHDL Descriptions at the Register Transfer Level * A Model for VHDL Descriptions at the Register Transfer Level * Abstract This paper presents a model for VHDL descriptions at the Register Transfer Level and its evaluation with respect to a logic level

More information

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library :

UNIT I Introduction to VHDL VHDL: - V -VHSIC, H - Hardware, D - Description, L Language Fundamental section of a basic VHDL code Library : UNIT I Introduction to VHDL VHDL stands for very high-speed integrated circuit hardware description language. Which is one of the programming languages used to model a digital system by dataflow, behavioral

More information

Universal Plug and Play Machine Models Modeling with Distributed Abstract State Machines

Universal Plug and Play Machine Models Modeling with Distributed Abstract State Machines Universal Plug and Play Machine Models Modeling with Distributed Abstract State Machines Uwe Glässer glaesser@upb.de Heinz Nixdorf Institute, Paderborn, Germany Margus Veanes margus@microsoft.com Microsoft

More information

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands

Subject: Scheduling Region Questions and Problems of new SystemVerilog commands Subject: Scheduling Region Questions and Problems of new SystemVerilog commands I have read and re-read sections 14-17 of the SystemVerilog 3.1 Standard multiple times and am still confused about exactly

More information