A Low Cost Checker for Matrix Multiplication

Size: px
Start display at page:

Download "A Low Cost Checker for Matrix Multiplication"

Transcription

1 A Low Cost Checker for Matrix Multiplication Lisbôa, C. A., Erigson, M. I., and Carro, L. Instituto de Informática, Universidade Federal do Rio Grande do Sul Abstract The implementation of a low cost checker, using an extension of a randomized verification technique is proposed as an approach to detect errors in matrix multiplication operations with a small overhead in both area and performance. The proposed extended technique is shown to be able to detect all errors affecting a single element of the product matrix, thereby providing a significant improvement in the fault detection capabilities of the original one. 1. Introduction During the past five decades, as technology evolved, the problem of designing reliable systems based on unreliable electronic components, instead of being solved, has aggravated. Despite (and because of) the continuous evolution in research, design, engineering, materials, manufacturing processes and test mechanisms, in the 21 st century the industry continues to face growing challenges in order to manufacture economically feasible components with acceptable levels of yield and reliability. Previously a concern only for mission critical applications, errors due to the effects of transient pulses produced by radiation and other interferences are now being considered by the design community as a concern for all kinds of applications, since these errors are much more likely to occur in designs based on future technologies. The technology evolution towards nanoscale leads to the possibility of manufacturing chips with up to devices. Not only the number of transistors, but also the speed of the circuits has increased with the advent of deep sub-micron technology. All together, those facts imply in higher sensitivity of combinational logic to soft errors, and, as shown in Figure 1, from [1], while the SER of SRAM memories remains almost stable with technological scaling, the SER of logic has been always increasing. Figure 1. SER evolution: SRAM x logic [1] For future technologies, solutions that impose high temporal or space redundancy will impair the ability to explore the main advantages of the technology evolution. Moreover, the extreme reduction of cycle times will lead to transient pulses with duration longer than one cycle [2], discarding all currently known techniques that rely on temporal tricks to cope with soft errors, such as [3]. Therefore, new paradigms must be adopted in the design of circuits to be manufactured using those future technologies. In this paper, we propose a low overhead approach to check the correctness of matrix multiplication, which can be applied both to hardware and software implementations. This approach is an extension of a technique proposed by Freivalds [4], that uses randomized computation in order to detect errors in the product with a given probability. Implementations of this approach to harden circuits for matrix multiplication using the proposed technique are simulated, and it is demonstrated that it reaches beyond the limits of Freivalds technique, providing assured detection of any occurrence of faults affecting one element of the product matrix, and triggering the mechanism to correct it. This paper is organized as follows: section 2 discusses related work and shows that current soft error mitigation techniques will no longer be effective to build reliable circuits using future technologies. Section 3 describes the Freivalds technique and its proposed extension. Section 4 presents sample circuits

2 in whose design the proposed approach was applied, together with data that confirms that it allows the detection of transient errors affecting one element of the product with small area and/or performance penalty. In section 5 we comment the obtained results and also describe future work. 2. Related and previous work The reliability of circuits manufactured in future technologies became a major topic of discussion and research in recent years. While some applications cannot accept even small error probabilities, others, such as multimedia and digital signal processing, can stand some small deviations in the results of computations caused by soft errors, which are infrequent. For the latter class of applications, statistical computation can provide enough fault tolerance, and this was the starting point of the research that lead to the technique proposed in this paper. While successful mitigation techniques have already been devised to protect memories against soft errors, the protection of combinational logic, mainly against multiple simultaneous upsets, is a relatively recent concern and still lacks efficient solutions [5]. Due to the variability of their vulnerability periods, the SER of combinational logic is harder to quantify, and so far the mitigation of soft errors in those circuits has been dealt with through redundancy and larger transistors, with obvious costs in area, power and even performance. The authors of [3] propose the use of a duplicated latch to store a delayed sample of the output of the circuit, and the comparison of the two results in order to determine whether, due to a transient fault, the output was wrong or not. This approach assumes that the transient pulse duration is shorter than the delay used to store the duplicated output. Another approach to deal with soft errors is proposed in [6]. In this approach, the critical path of the combinational circuit is hardened through the duplication of gates and transient errors are detected through comparison of the duplicated outputs. Regardless of overhead issues, those approaches are likely to become useless for circuits to be manufactured using future technologies. As shown in [2], the scaling of dimensions and speed of future CMOS devices will not be followed by a proportional scaling in the duration of transient pulses. This will lead to transient pulses longer than the duration of a cycle, thereby precluding the use of the aforementioned proposed solutions. Experiments conducted by our group, in which transient faults were injected in adders and multipliers implemented with the 32 nm CMOS technology, have confirmed that, for some input combinations, transient pulses that last longer than one cycle can produce multiple bit errors. Since the cost of redundant hardware that could correct faults that span through multiple clock cycles would be excessive, the general solution in the future scenario favors detection of the fault occurrence, and re-computation of the required data whenever the fault effect dissipates. However, duplication of components and detection of the errors by comparing the generated outputs is still extremely expensive, and other detection techniques must be developed. When space redundancy is used to implement a fault tolerant solution, there is nothing one can do with less than one extra transistor or gate [7]. Therefore, the use of redundancy at the transistor or gate level, with the intrinsic fine granularity, is not possible when area overhead is a concern. With this is mind, the minimum feasible level for the use of space redundancy would be macro-system level. The use of parallel computation to check the results produced by a processor has been proposed by several authors. In [13], the use of a functional checker that is simpler than the core processor, because it receives the instruction to be executed together with the values of the input operands and of the result produced by the core processor, is proposed. Once the result of the operation is obtained by the checker, it is compared with the result produced by the core processor. If they are equal, the result is forwarded to the commit stage of the processor s pipeline, to be written to the storage. If they differ, the result calculated by the checker is forwarded to the commit stage and the core processor s pipeline is flushed and the processor is restarted at the next instruction using its own speculation recovery mechanism. This technique assumes that the checker never fails, and requires modification of the core processor hardware. The use of larger transistors, triple modular redundancy, and duplication of the checker are proposed by the authors as solutions to harden the checker against faults. However, all those alternatives imply in higher area overheads. In [8], the use of a non intrusive infrastructure IP (I- IP) to harden selected instructions executed by an existing core processor in SoCs used in embedded systems has been proposed. This solution implies the insertion of the I-IP between the code memory and the core processor of the SoC, so that the I-IP is able to monitor the instruction fetch process and decide whether or not to harden a given fetched instruction. Since the I-IP deals only with a small subset of the

3 core processor instructions, it is much smaller than the core processor. Furthermore, the selection of instructions to be hardened at design time allows the scaling of the area overhead according to the specific fault tolerance requirements of the target application. Besides that, the proposed approach is non intrusive, since it does not require access to or modification of the application source code, nor of the SoC s core processor. However, since not every instruction is hardened, this technique does not provide full fault tolerance, falling in the context of solutions based on statistical computation, which are feasible only for specific application fields, such as image and signal processing. All the proposed approaches mentioned before are generic, and therefore not necessarily optimized for matrix multiplication, the target application of this work. 3. Statistical computation and Freivalds technique The concept of processing and checking in parallel the outputs of a system for only a subset of its possible inputs, mentioned in the previous section, is also called fingerprinting [4], and can be applied to the general case of a circuit that must be hardened against soft errors, thus providing for tolerance against transient faults caused by pulses that affect parts of the circuit, even when the duration of the transient pulse is longer than the delay of several gates. Figure 2 illustrates this idea. The random checker circuit performs some of the functions of the main circuit only on a small set of possible inputs, being able to statistically detect errors in the output with a given probability, while being significantly smaller than the main circuit under inspection. inputs main circuit random checker output error Figure 2. Proposed solution - generic scheme The underlying concept presented here is generic, and can be adopted for several different applications or circuits, with the subset of inputs, the operations performed by the checker, the performance, area, and power overheads varying according to the application. In this work, it has been applied to harden a matrix multiplier circuit, as shown in the following subsections Freivalds technique In 1977, Rúsiņš Freivalds [9] proved that probabilistic machines are able to execute some specific computations faster than deterministic ones, and that they can compute approximations of a function in a fraction of the time required to compute the same function deterministically. Also credited to Freivalds, a technique for faster verification of the correctness of matrix multiplication algorithms has been shown in [4]. In summary, Freivalds technique proposes the use of multiplication of matrices by vectors in order to reduce the computation time when verifying the results produced by a given matrix multiplication algorithm, as follows: given n n matrices A and B, and the matrix C, the product of A and B which was computed using the algorithm under test, the following computations are performed: 1. Randomly create a vector r in which the values of the elements are only 0 or Calculate Cr = C r 3. Calculate ABr = A (B r) Freivalds has proven that, whenever A B C, the probability of Cr being equal to ABr is ½. The demonstration is shown in the box below. Demonstration of Freivalds Technique [10] Let p be the probability of error, and ρ a vector whose values are randomly selected from {0,1}. We claim that if A B = C, then p = 0, and if A B C, then p ½. A B = C If A B = C, then A B C = 0, and so, Π = A (Bρ) - Cρ = (A B)ρ - Cρ = (A B C)ρ = Ω, regardless of what our vector ρ was. A B C Let D = A B C = (d ij ), so Π = D ρ = (p 1, p 2,..., p n ) T. Since A B C, we have A B C 0, so some element of D is nonzero. Suppose that the element d ij 0. By the definition of matrix multiplication, and being r i the i th element of ρ, and p i the i th element of Π, we have p i = Σ n k=1 d ik r k = d i1 r d ij r j d in r n = d ij r j +y

4 where y represents the sum of all products d ik r k, except d ij r j. Using Bayes' Theorem, we have Pr[p i =0]=Pr[p i =0 y=0].pr[y=0]+pr[p i =0 y 0].Pr[y 0] Also, note that: Pr[p i = 0 y = 0] = Pr[r j = 0] = ½ Pr[p i = 0 y 0] Pr[r j = 1] = ½ Plugging these in the above equation, we have: Pr[p i = 0] ½. Pr[y = 0] + ½. Pr[y 0] Pr[p i = 0] ½. Pr[y = 0] + ½. (1 - Pr[y = 0]) Pr[p i = 0] ½ Therefore, Pr[Π = 0] Pr[p i = 0] ½ This completes the proof. Furthermore, if the steps 1 to 3 above are performed independently k times (with different values of the vector r), the probability becomes ½ k. Using this technique, the verification of the result can be done in less time than the original multiplication, since matrix multiplication requires O(n 3 ) time to be performed, while multiplication of a matrix by a vector is performed in O(n 2 ) time. However, since this is an statistical technique, there is no assurance that errors will always be detected Going beyond Freivalds The analysis of the technique proposed by Freivalds shows that the probability of detecting one error in C is ½ because the randomly generated elements of the vector r have the same ½ probability of being 0 or 1. Assuming that the element of C which has an erroneous value is C ij, in the calculation of Cr this element is multiplied by a single element r k of the vector, thereby being canceled during the generation of Cr (if r k is equal to 0) or not (when r k is equal to 1). Given that the elements of the vector r can be chosen randomly, if we perform the computation with a second vector, r c, in which each element is the binary complement of the values in r, the elements of C that were cancelled in the first computation will not be canceled in the second one, and vice-versa. Therefore, if C ij has an erroneous value, we will either have A (B r) C r or A (B r c ) C r c, and the probability of detecting an error in a single element of C will be equal to 1, as demonstrated in the following box. Theorem: The use of complementary r and r c vectors allows to detect all single faults with a double execution of Freivalds technique. The computation of the products A (B r) and C r in the Freivalds technique generates two vectors that must be compared. Assuming that matrices A and B have n n elements, the r and r c vectors will have n elements each and the value of an element i of the above products is given by: ABr i = Σ n i=1 ((a 11b 1i + a 12 b 2i a 1n b ni ).r i ) Cr i = c i1 r 1 + c i2 r c in r n It has already been demonstrated that, when no error occurs in the calculation of C, we have ABr = Cr, and regardless of the values of r i the comparison for equality will hold true. However, when ABr Cr there is a probability ½ that the comparison will also hold true. That happens because the values of r i are selected randomly from {0, 1} and, therefore, Pr[r i =0] = Pr[r i =1] = ½. This way, there is a 50% chance that an erroneous value C ij will be masked during the calculation of Cr, and, in this case, ABr is erroneously considered to be equal to Cr. When the r i values are generated randomly, and then the complement of their values are used to set the values of the corresponding elements in vector r c, we have: Pr[r i =1 OR r ci =1] = Pr[r i =1] Pr[ r ci =1] = Pr[r i =1] + Pr[ r ci =1] = ½ + ½ = 1 If the erroneous value is masked in the calculation of ABr or Cr, it is not masked when ABr c or Cr c are calculated, and vice-versa. This property allows the detection of every error in which a single element of C is faulty, with only two executions of the Freivalds technique. This conclusion has been confirmed through exhaustive fault insertion campaigns in simulations using MatLab [11] and the proposed solution provides an efficient method for verification of matrix multiplication algorithms. The next section shows that the same technique can be successfully applied in hardware, thereby hardening a matrix multiplier circuit against transient faults with reduced area penalties, which decrease in the inverse proportion of the size of the matrices. This technique can be used in several applications, such as image filters and image compression, to provide tolerance against transient faults [12].

5 4. Sample implementation In order to demonstrate the low overhead of the proposed approach, we have implemented the scheme depicted in Figure 2 to harden a matrix multiplier circuit through the application of the Freivalds technique, extended as explained in section 3.2. The matrix multiplier and the checker circuits have been implemented using only combinational logic, and array multipliers have been used to implement multiplications, ripple carry adders to implement additions and AND gates to implement multiplications by the elements of the vectors r and r c, since their values are selected from {0, 1} The hardened matrix multiplier Figure 3 shows the operations performed by each circuit for this specific application. The main circuit takes as inputs two matrices A and B and generates their product (matrix C) at the output. inputs (A, B) Figure 3. Matrix multiplier hardening scheme The checker circuit receives the same A and B matrices, and also the resulting C matrix generated by the multiplier circuit, and performs the multiplications by vectors required for the verification of the result. As previously described, the checker calculates the products Cr and ABr twice, and issues the error signal whenever A (B r) C r or A (B r c ) C r c. Table 1. Area comparison (thousands of gates) Matrix width (n) Size of multiplier , ,192.5 Size of checker ,392.8 Checker area overhead (%) C A * B Cr C * r ABr A*(B*r) output (C) error The additional area required by the checker circuit in this implementation, for different sizes of matrices, in terms of thousands of gates per circuit, is shown in Table 1, from which one can confirm that the proposed technique provides reduced area overhead, thus satisfying one of the main requirements of the proposed approach. To calculate the areas, we have considered that the input values (elements of matrices A and B) are 8-bit positive integers and the output (elements of matrix C) are 20-bit positive integers. Despite the use of a hardware implementation as an example, the extension of the Freivalds technique proposed here is also useful for software verification. In order to show the benefits of the proposed technique, we ran a program that uses this technique with different sizes of matrices and counted the number of operations (additions and multiplications) used to calculate the product, and in the verification procedure. The number of operations required for each test case, as well as the percent overhead, are shown in Table 2. Note that this number of operations relates to the CPU time required to execute the algorithm. Table 2. Time comparison (# of operations) Matrix width (n) Multiplication ,936 64,512 Verification ,976 12,096 Overhead (%) Fault injection results The tolerance against transient faults of the proposed hardened matrix multiplier circuit has been tested using a functional description of the circuit in a MatLab program, which allows the injection of independent faults in each of the operators (array multipliers, ripple carry adders, and AND gates) of the multiplication circuit and checks whether the faults propagate to the output (matrix C) or not. The model used for fault injection is an error in a single operator, that can happen when a transient pulse affects a single node of the circuit. However, the effect produced by a single particle that generates two faults in neighbor nodes, as well those produced for transient pulses that last longer than the propagation delay of several gates, thereby affecting more than one bit of the sum or product, are also covered by this model. As foreseen, for all cases in which there was an error in C, the proposed checker has detected the error. Accordingly, for all correct values of C, no error has been flagged. Table 3 shows the results obtained by injecting single faults (only one operator fails in each computation of C) 1,000,000 times, using different A and B matrices and r vectors, with n = 3, all generated randomly. As mentioned before, for each verification, the r c vector is generated by binary complementation of the corresponding elements in r.

6 Table 3. Single fault injection # of repetitions 1,000,000 faults propagated to C 332,142 A*(B*r) = C*r 166,285 A*(B*r) C*r 165,857 A*(B*r c ) = C*r c 165,857 A*(B*r c ) C*r c 166,285 A*(B*r) C*r OR A*(B*r c ) C*r c 332,142 The second line of Table 3 shows the number of faults injected in the multiplier that affected the product matrix (C). Only those propagated faults were considered for the analysis in lines 3 to 7 of the same table. Even though in 332,142 repetitions of the experiment we have got A B C, approximately 50% of the times A (B r) is compared with C r, they are equal and in the other 50% they are different, confirming that the probability of each situation is ½. Those results confirm that the proposed technique detects all propagated faults. 5. Conclusions an future work This work proposes the use of a low cost checker to verify the results produced by a matrix multiplication circuit. The proposed checker uses an extension of the Freivalds technique to check the results of the multiplier and is able to detect all single faults and all multiple faults (faults that affect simultaneously more bits in a single operator, mimicking a fault that lasts longer than a single gate delay) that affect a single element of the product matrix, with reduced area overhead. It has been shown that the overhead scales inversely with the size of the matrices to be multiplied. The bulk of the future research related to this work will concentrate on the development of reduced overhead checkers for other types of extensively used algorithms and their circuit implementation, in order to find low cost redundancy solutions for fault detection and recomputation. Another important research topic, related to this work, is the detailed study and understanding of the transient faults mechanisms in future technologies, taking into account that the scaling in speed will no longer be followed by a corresponding scaling in the duration of transient pulses. 6. References [1] Baumann, R., Soft Errors in Advanced Computer Systems, IEEE Design and Test of Computers, vol. 22, no. 3, IEEE Computer Society, New-York-London, May-June 2005, pp [2] Dodd, P.E., et al., Production and propagation of Single- Event Transients in High-Speed Digital Logic ICs, IEEE Transactions on Nuclear Science, Vol 51, No 6, Part 2, IEEE Computer Society, Los Alamitos, CA, December 2004, pp [3] Anghel, L., Lazzari, C. and Nicolaidis, M., Multiple Defects Tolerant Devices for Unreliable Future Technologies, in Proceedings of the 7 th IEEE Latin- American Test Workshop (LATW 2006), IEEE Computer Society, Los Alamitos, CA, March 2006, pp [4] R. Motwani, and P. Raghavan, Randomized Algorithms, Cambridge University Press, New York, [5] Rossi, D., Omaña, M., Toma, F. and Metra, C., Multiple Transient Faults in Logic: An Issue for Next Generation ICs?, in Proceedings of th 20 th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 2005), IEEE Computer Society, Los Alamitos, CA, October 2005, pp [6] Nieuwland, A. Jasarevic, S. and Jerin, G., Combinational Logic Soft Error Analysis and Protection, in Proceedings of the 12th IEEE International On-Line Test Symposium IOLTS 2006, IEEE Computer Society, Los Alamitos, CA, July 2006, pp [7] Bouajila, A.et al. Organic Computing at the System on Chip Level, available at Last visited in October, 15, [8] Lisbôa, C. A. L., Carro, L., Sonza Reorda, M., and Violante, M. Online Hardening of Programs against SEUs and SETs, in Proceedings of the 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems - DFT 2006, IEEE Computer Society, Los Alamitos, CA, October 2006, pp ,. [9] Freivalds, R. Probabilistic machines can use less running time, in Proceedings of IFIP Congress 77, B. Gilchrist, editor, North-Holland, Toronto, August 1977, pp [10] Last visited October, 15, [11] Last visited in October, 15, [12] Salomon, D. Data Compression: The Complete Reference, 3 rd ed., Springer-Verlag, New York, [13] Austin, T. DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. In MICRO32 - Proceedings of the 32 nd ACM/IEEE International Symposium on Microarchitecture, pages , Los Alamitos, CA, November, 1999.

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

A Low-SER Efficient Core Processor Architecture for Future Technologies

A Low-SER Efficient Core Processor Architecture for Future Technologies A Low-ER Efficient Core Processor Architecture for Future Technologies E. L. Rhod, C. A. Lisbôa, L. Carro Universidade Federal do Rio Grande do ul Escola de Engenharia and Instituto de Informática Porto

More information

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers

Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Using Error Detection Codes to detect fault attacks on Symmetric Key Ciphers Israel Koren Department of Electrical and Computer Engineering Univ. of Massachusetts, Amherst, MA collaborating with Luca Breveglieri,

More information

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Abdelmajid Bouajila 1, Andreas Bernauer 2, Andreas Herkersdorf 1, Wolfgang Rosenstiel 2,3, Oliver

More information

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs

Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs Hamid R. Zarandi,2, Seyed Ghassem Miremadi, Costas Argyrides 2, Dhiraj K. Pradhan 2 Department of Computer Engineering, Sharif

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

Defect Tolerance in VLSI Circuits

Defect Tolerance in VLSI Circuits Defect Tolerance in VLSI Circuits Prof. Naga Kandasamy We will consider the following redundancy techniques to tolerate defects in VLSI circuits. Duplication with complementary logic (physical redundancy).

More information

Multiple Event Upsets Aware FPGAs Using Protected Schemes

Multiple Event Upsets Aware FPGAs Using Protected Schemes Multiple Event Upsets Aware FPGAs Using Protected Schemes Costas Argyrides, Dhiraj K. Pradhan University of Bristol, Department of Computer Science Merchant Venturers Building, Woodland Road, Bristol,

More information

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates International Journal of Reconfigurable Computing Volume 2, Article ID 452589, 7 pages doi:.55/2/452589 Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under

More information

Checker Processors. Smruti R. Sarangi. Department of Computer Science Indian Institute of Technology New Delhi, India

Checker Processors. Smruti R. Sarangi. Department of Computer Science Indian Institute of Technology New Delhi, India Advanced Department of Computer Science Indian Institute of Technology New Delhi, India Outline Introduction Advanced 1 Introduction 2 Checker Pipeline Checking Mechanism 3 Advanced Core Checker L1 Failure

More information

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel

Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Simulation of Hamming Coding and Decoding for Microcontroller Radiation Hardening Rehab I. Abdul Rahman, Mazhar B. Tayel Abstract This paper presents a method of hardening the 8051 micro-controller, able

More information

Improved Fault Tolerant Sparse KOGGE Stone ADDER

Improved Fault Tolerant Sparse KOGGE Stone ADDER Improved Fault Tolerant Sparse KOGGE Stone ADDER Mangesh B Kondalkar 1 Arunkumar P Chavan 2 P Narashimaraja 3 1, 2, 3 Department of Electronics and Communication, R V college of Engineering, Bangalore

More information

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters ECE 7502 Class Discussion Ningxi Liu 14 th Apr 2015 ECE 7502 S2015 Customer Validate Requirements Verify Specification

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor

Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor Accurate Analysis of Single Event Upsets in a Pipelined Microprocessor M. Rebaudengo, M. Sonza Reorda, M. Violante Politecnico di Torino Dipartimento di Automatica e Informatica Torino, Italy www.cad.polito.it

More information

Reliable Architectures

Reliable Architectures 6.823, L24-1 Reliable Architectures Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 6.823, L24-2 Strike Changes State of a Single Bit 10 6.823, L24-3 Impact

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 ISSN 255 CORRECTIONS TO FAULT SECURE OF MAJORITY LOGIC DECODER AND DETECTOR FOR MEMORY APPLICATIONS Viji.D PG Scholar Embedded Systems Prist University, Thanjuvr - India Mr.T.Sathees Kumar AP/ECE Prist University,

More information

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof.

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Transient Fault Detection and Reducing Transient Error Rate Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Steven Swanson Outline Motivation What are transient faults? Hardware Fault Detection

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 5 Processor-Level Techniques & Byzantine Failures Chapter 2 Hardware Fault Tolerance Part.5.1 Processor-Level Techniques

More information

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips

A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips A Low-Cost SEE Mitigation Solution for Soft-Processors Embedded in Systems On Programmable Chips M. Sonza Reorda, M. Violante Politecnico di Torino Torino, Italy Abstract The availability of multimillion

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation

Efficient Implementation of Single Error Correction and Double Error Detection Code with Check Bit Precomputation http://dx.doi.org/10.5573/jsts.2012.12.4.418 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 Efficient Implementation of Single Error Correction and Double Error Detection

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Soft-error Detection Using Control Flow Assertions

Soft-error Detection Using Control Flow Assertions Soft-error Detection Using Control Flow Assertions O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, M. Violante Politecnico di Torino, Dipartimento di Automatica e Informatica Torino, Italy Abstract Over

More information

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE

SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE SOFTWARE-IMPLEMENTED HARDWARE FAULT TOLERANCE O. Goloubeva, M. Rebaudengo, M. Sonza Reorda, and M. Violante Politecnico di Torino - Dipartimento di Automatica

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs

High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2894-2900 ISSN: 2249-6645 High Speed Fault Injection Tool (FITO) Implemented With VHDL on FPGA For Testing Fault Tolerant Designs M. Reddy Sekhar Reddy, R.Sudheer Babu

More information

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES

HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES HDL IMPLEMENTATION OF SRAM BASED ERROR CORRECTION AND DETECTION USING ORTHOGONAL LATIN SQUARE CODES (1) Nallaparaju Sneha, PG Scholar in VLSI Design, (2) Dr. K. Babulu, Professor, ECE Department, (1)(2)

More information

Software Techniques for Dependable Computer-based Systems. Matteo SONZA REORDA

Software Techniques for Dependable Computer-based Systems. Matteo SONZA REORDA Software Techniques for Dependable Computer-based Systems Matteo SONZA REORDA Summary Introduction State of the art Assertions Algorithm Based Fault Tolerance (ABFT) Control flow checking Data duplication

More information

A Review of Various Adders for Fast ALU

A Review of Various Adders for Fast ALU 58 JEST-M, Vol 3, Issue 2, July-214 A Review of Various Adders for Fast ALU 1Assistnat Profrssor Department of Electronics and Communication, Chandigarh University 2Assistnat Profrssor Department of Electronics

More information

Exploiting Unused Spare Columns to Improve Memory ECC

Exploiting Unused Spare Columns to Improve Memory ECC 2009 27th IEEE VLSI Test Symposium Exploiting Unused Spare Columns to Improve Memory ECC Rudrajit Datta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

FAULT DETECTION IN THE ADVANCED ENCRYPTION STANDARD. G. Bertoni, L. Breveglieri, I. Koren and V. Piuri

FAULT DETECTION IN THE ADVANCED ENCRYPTION STANDARD. G. Bertoni, L. Breveglieri, I. Koren and V. Piuri FAULT DETECTION IN THE ADVANCED ENCRYPTION STANDARD G. Bertoni, L. Breveglieri, I. Koren and V. Piuri Abstract. The AES (Advanced Encryption Standard) is an emerging private-key cryptographic system. Performance

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

Tailoring Tests for Functional Binning of Integrated Circuits

Tailoring Tests for Functional Binning of Integrated Circuits 2012 IEEE 21st Asian Test Symposium Tailoring Tests for Functional Binning of Integrated Circuits Suraj Sindia Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University, Alabama,

More information

Comparison of SET-Resistant Approaches for Memory-Based Architectures

Comparison of SET-Resistant Approaches for Memory-Based Architectures Comparison of SET-Resistant Approaches for Memory-Based Architectures Daniel R. Blum and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman,

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration V.Elamaran, G.Rajkumar, N.Raju, K.Narasimhan, Har Narayan Upadhyay School of EEE, Department of ECE, SASTRA

More information

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design

DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design Or, how I learned to stop worrying and love complexity. http://www.eecs.umich.edu/~taustin Microprocessor Verification Task of determining

More information

Reduced Delay BCD Adder

Reduced Delay BCD Adder Reduced Delay BCD Adder Alp Arslan Bayrakçi and Ahmet Akkaş Computer Engineering Department Koç University 350 Sarıyer, İstanbul, Turkey abayrakci@ku.edu.tr ahakkas@ku.edu.tr Abstract Financial and commercial

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs

On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs F. Lima Kastensmidt, L. Sterpone, L. Carro, M. Sonza Reorda To cite this version: F. Lima Kastensmidt, L. Sterpone, L. Carro,

More information

SPECIAL ISSUE ENERGY, ENVIRONMENT, AND ENGINEERING SECTION: RECENT ADVANCES IN BIG DATA ANALYSIS (ABDA) ISSN:

SPECIAL ISSUE ENERGY, ENVIRONMENT, AND ENGINEERING SECTION: RECENT ADVANCES IN BIG DATA ANALYSIS (ABDA) ISSN: ISSN: 976-314 ARTICLE CONCURRENT ERROR DETECTION WITH SELF-CHECKING MAJORITY VOTING CIRCUITS V. Elamaran 1*, VR. Priya 2, M. Chandrasekar 1, Har Narayan Upadhyay 1 ABSTRACT 1 Department of ECE, School

More information

Transistor: Digital Building Blocks

Transistor: Digital Building Blocks Final Exam Review Transistor: Digital Building Blocks Logically, each transistor acts as a switch Combined to implement logic functions (gates) AND, OR, NOT Combined to build higher-level structures Multiplexer,

More information

Application of Binary Decision Diagram in digital circuit analysis.

Application of Binary Decision Diagram in digital circuit analysis. Application of Binary Decision Diagram in digital circuit analysis. Jyoti Kukreja University of Southern California For Dr. James Ellison Abstract: Binary Decision Diagrams (BDDs) are one of the biggest

More information

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank

ReSpace/MAPLD Conference Albuquerque, NM, August A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank ReSpace/MAPLD Conference Albuquerque, NM, August 2011. A Fault-Handling Methodology by Promoting Hardware Configurations via PageRank Naveed Imran and Ronald F. DeMara Department of Electrical Engineering

More information

A Low-Power ECC Check Bit Generator Implementation in DRAMs

A Low-Power ECC Check Bit Generator Implementation in DRAMs 252 SANG-UHN CHA et al : A LOW-POWER ECC CHECK BIT GENERATOR IMPLEMENTATION IN DRAMS A Low-Power ECC Check Bit Generator Implementation in DRAMs Sang-Uhn Cha *, Yun-Sang Lee **, and Hongil Yoon * Abstract

More information

ARITHMETIC operations based on residue number systems

ARITHMETIC operations based on residue number systems IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 2, FEBRUARY 2006 133 Improved Memoryless RNS Forward Converter Based on the Periodicity of Residues A. B. Premkumar, Senior Member,

More information

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012

Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 Henry Lin, Department of Electrical and Computer Engineering, California State University, Bakersfield Lecture 7 (Digital Logic) July 24 th, 2012 1 Digital vs Analog Digital signals are binary; analog

More information

A CAN-Based Architecture for Highly Reliable Communication Systems

A CAN-Based Architecture for Highly Reliable Communication Systems A CAN-Based Architecture for Highly Reliable Communication Systems H. Hilmer Prof. Dr.-Ing. H.-D. Kochs Gerhard-Mercator-Universität Duisburg, Germany E. Dittmar ABB Network Control and Protection, Ladenburg,

More information

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy Andrew L. Baldwin, BS 09, MS 12 W. Robert Daasch, Professor Integrated Circuits Design and Test Laboratory Problem Statement In a fault

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

Self-Checking Fault Detection using Discrepancy Mirrors

Self-Checking Fault Detection using Discrepancy Mirrors Manuscript to Appear in the 2005 International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 05), June 2005, Las Vegas, Nevada. Copyright and all rights therein are

More information

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths Mario Schölzel Department of Computer Science Brandenburg University of Technology Cottbus, Germany

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

On-Line Error Detecting Constant Delay Adder

On-Line Error Detecting Constant Delay Adder On-Line Error Detecting Constant Delay Adder Whitney J. Townsend and Jacob A. Abraham Computer Engineering Research Center The University of Texas at Austin whitney and jaa @cerc.utexas.edu Parag K. Lala

More information

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST

FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST FPGA IMPLEMENTATION OF FLOATING POINT ADDER AND MULTIPLIER UNDER ROUND TO NEAREST SAKTHIVEL Assistant Professor, Department of ECE, Coimbatore Institute of Engineering and Technology Abstract- FPGA is

More information

Lossless Compression using Efficient Encoding of Bitmasks

Lossless Compression using Efficient Encoding of Bitmasks Lossless Compression using Efficient Encoding of Bitmasks Chetan Murthy and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville, FL 326, USA

More information

Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs

Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs Hardware Implementation of a Fault-Tolerant Hopfield Neural Network on FPGAs Juan Antonio Clemente a, Wassim Mansour b, Rafic Ayoubi c, Felipe Serrano a, Hortensia Mecha a, Haissam Ziade d, Wassim El Falou

More information

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers

High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers High temperature / radiation hardened capable ARM Cortex -M0 microcontrollers R. Bannatyne, D. Gifford, K. Klein, C. Merritt VORAGO Technologies 2028 E. Ben White Blvd., Suite #220, Austin, Texas, 78741,

More information

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL

Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Error Detecting and Correcting Code Using Orthogonal Latin Square Using Verilog HDL Ch.Srujana M.Tech [EDT] srujanaxc@gmail.com SR Engineering College, Warangal. M.Sampath Reddy Assoc. Professor, Department

More information

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM

A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM A Low-Latency DMR Architecture with Efficient Recovering Scheme Exploiting Simultaneously Copiable SRAM Go Matsukawa 1, Yohei Nakata 1, Yuta Kimi 1, Yasuo Sugure 2, Masafumi Shimozawa 3, Shigeru Oho 4,

More information

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier

Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Delay Optimised 16 Bit Twin Precision Baugh Wooley Multiplier Vivek. V. Babu 1, S. Mary Vijaya Lense 2 1 II ME-VLSI DESIGN & The Rajaas Engineering College Vadakkangulam, Tirunelveli 2 Assistant Professor

More information

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro Instituto de Informática Universidade Federal do Rio Grande do Sul (UFRGS) Porto Alegre, RS - Brazil

More information

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication

An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication An HVD Based Error Detection and Correction Code in HDLC Protocol Used for Communication Shubham Fadnavis, M. Tech. (Final Year) Department of Electronics & Communication, Acropolis Institute of Technology

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

Fault Simulation. Problem and Motivation

Fault Simulation. Problem and Motivation Fault Simulation Problem and Motivation Fault Simulation Problem: Given A circuit A sequence of test vectors A fault model Determine Fault coverage Fraction (or percentage) of modeled faults detected by

More information

Reliability Improvement in Reconfigurable FPGAs

Reliability Improvement in Reconfigurable FPGAs Reliability Improvement in Reconfigurable FPGAs B. Chagun Basha Jeudis de la Comm 22 May 2014 1 Overview # 2 FPGA Fabrics BlockRAM resource Dedicated multipliers I/O Blocks Programmable interconnect Configurable

More information

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Majority Logic Decoding Of Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes P. Kalai Mani, V. Vishnu Prasath PG Student, Department of Applied Electronics, Sri Subramanya College of Engineering

More information

Reliability-Aware Co-synthesis for Embedded Systems

Reliability-Aware Co-synthesis for Embedded Systems Reliability-Aware Co-synthesis for Embedded Systems Y. Xie, L. Li, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin Department of Computer Science and Engineering Pennsylvania State University {yuanxie,

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

FARHAD: a Fault-Tolerant Power-Aware Hybrid Adder for Add Intensive Applications

FARHAD: a Fault-Tolerant Power-Aware Hybrid Adder for Add Intensive Applications FARHAD: a Fault-Tolerant Power-Aware Hybrid Adder for Add Intensive Applications Mohammad Hossein Hajkazemi, Amirali Baniasadi, Hossein Asadi 2 Department of Electrical and Computer Engineering, University

More information

CRYPTOGRAPHIC devices are widely used in applications

CRYPTOGRAPHIC devices are widely used in applications 1036 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 20, NO. 6, JUNE 2012 Secure Multipliers Resilient to Strong Fault-Injection Attacks Using Multilinear Arithmetic Codes Zhen Wang,

More information

Diagnosis in the Time-Triggered Architecture

Diagnosis in the Time-Triggered Architecture TU Wien 1 Diagnosis in the Time-Triggered Architecture H. Kopetz June 2010 Embedded Systems 2 An Embedded System is a Cyber-Physical System (CPS) that consists of two subsystems: A physical subsystem the

More information

Carry Checking/Parity Prediction Adders and ALUs

Carry Checking/Parity Prediction Adders and ALUs IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO. 1, FEBRUARY 2003 121 Carry Checking/Parity Prediction Adders and ALUs Michael Nicolaidis Abstract In this paper, we present

More information

Study on a Sensor Network System with a Self-Maintenance Function for Plant Monitoring System

Study on a Sensor Network System with a Self-Maintenance Function for Plant Monitoring System Joint International Workshop: Nuclear Technology and Society Needs for Next Generation Study on a Sensor Network System with a Self-Maintenance Function for Plant Monitoring System Takeshi Fujiwara and

More information

An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder

An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder An Efficient Fused Add Multiplier With MWT Multiplier And Spanning Tree Adder 1.M.Megha,M.Tech (VLSI&ES),2. Nataraj, M.Tech (VLSI&ES), Assistant Professor, 1,2. ECE Department,ST.MARY S College of Engineering

More information

Hybrid Signed Digit Representation for Low Power Arithmetic Circuits

Hybrid Signed Digit Representation for Low Power Arithmetic Circuits Hybrid Signed Digit Representation for Low Power Arithmetic Circuits Dhananjay S. Phatak Steffen Kahle, Hansoo Kim and Jason Lue Electrical Engineering Department State University of New York Binghamton,

More information

Self-checking combination and sequential networks design

Self-checking combination and sequential networks design Self-checking combination and sequential networks design Tatjana Nikolić Faculty of Electronic Engineering Nis, Serbia Outline Introduction Reliable systems Concurrent error detection Self-checking logic

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 6 Coding I Chapter 3 Information Redundancy Part.6.1 Information Redundancy - Coding A data word with d bits is encoded

More information

PhD in Computer And Control Engineering XXVII cycle. Torino February 27th, 2015.

PhD in Computer And Control Engineering XXVII cycle. Torino February 27th, 2015. PhD in Computer And Control Engineering XXVII cycle Torino February 27th, 2015. Parallel and reconfigurable systems are more and more used in a wide number of applica7ons and environments, ranging from

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology

Analysis of Soft Error Mitigation Techniques for Register Files in IBM Cu-08 90nm Technology Analysis of Soft Error Mitigation Techniques for s in IBM Cu-08 90nm Technology Riaz Naseer, Rashed Zafar Bhatti, Jeff Draper Information Sciences Institute University of Southern California Marina Del

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing

Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing Optimal Clustering and Statistical Identification of Defective ICs using I DDQ Testing A. Rao +, A.P. Jayasumana * and Y.K. Malaiya* *Colorado State University, Fort Collins, CO 8523 + PalmChip Corporation,

More information

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem.

Power dissipation! The VLSI Interconnect Challenge. Interconnect is the crux of the problem. Interconnect is the crux of the problem. The VLSI Interconnect Challenge Avinoam Kolodny Electrical Engineering Department Technion Israel Institute of Technology VLSI Challenges System complexity Performance Tolerance to digital noise and faults

More information

FPGA Matrix Multiplier

FPGA Matrix Multiplier FPGA Matrix Multiplier In Hwan Baek Henri Samueli School of Engineering and Applied Science University of California Los Angeles Los Angeles, California Email: chris.inhwan.baek@gmail.com David Boeck Henri

More information

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect

NEtwork-on-Chip (NoC) [3], [6] is a scalable interconnect 1 A Soft Tolerant Network-on-Chip Router Pipeline for Multi-core Systems Pavan Poluri and Ahmed Louri Department of Electrical and Computer Engineering, University of Arizona Email: pavanp@email.arizona.edu,

More information

Number Systems and Computer Arithmetic

Number Systems and Computer Arithmetic Number Systems and Computer Arithmetic Counting to four billion two fingers at a time What do all those bits mean now? bits (011011011100010...01) instruction R-format I-format... integer data number text

More information

Error Resilience in Digital Integrated Circuits

Error Resilience in Digital Integrated Circuits Error Resilience in Digital Integrated Circuits Heinrich T. Vierhaus BTU Cottbus-Senftenberg Outline 1. Introduction 2. Faults and errors in nano-electronic circuits 3. Classical fault tolerant computing

More information