Error Resilience in Digital Integrated Circuits

Size: px
Start display at page:

Download "Error Resilience in Digital Integrated Circuits"

Transcription

1 Error Resilience in Digital Integrated Circuits Heinrich T. Vierhaus BTU Cottbus-Senftenberg

2 Outline 1. Introduction 2. Faults and errors in nano-electronic circuits 3. Classical fault tolerant computing 4. Transient faults and delays 5. Detection and correction of permanent faults 6. Software-based self repair 7. Error prevention at minimum cost 8. Summary and Conclusions

3 Systems Dependabilty Cyber-physical system Software-free system German ICE Train ( ): Had serious temperature problems in winter and summer. Sometimes breaks down in operation after less than 20 years life time. Prussian class P8 locomotive ( ): Gave dependable service in Germany and Poland for more than 50 years. Record breaking 60 years of operational life in Poland!

4 What does Resilience Mean? You can handle fault and errors or mis-use without killing the systems function. Aspects: Detect and correct faults Detect and tolerate faults Detect security problems and handle them in an appropriate manner Handle errors by re-organisation of the systems to exclude faulty units, often with reduced performance Observe critical parameters (heat, power, leakage) to predict faults, failures etc.

5 Origin of Faults Error Resilience System Specification System Design (HW / SW) System Implemen - tation Product. Test System in Operation Specification errors Design errors Production faults Test escapes Handling errors Radiation, EMC Stress Wear - out

6 Changing Sources of Faults Software bugs continue playing a role, but in general software dependability has improved a lot, despite ever increasing complexity. Digital hardware (processors) was highly reliable in the past. This seems to be changing with nano-technologies: - Increasing vulnerability to transient fault effects (from radiation and / or noise), - Much stronger aging effects limiting the operational life time of microelectronic circuits and systems to a few years rather than decades! Thermal stress plays an important role!! Furthermore: Recent reports from conferences indicate that aging processes starting with intermittent faults which may become dominant over transient faults! Lowering supply voltages below 1 V is beneficial for power savings, but may introduce new and enhanced sources of errors!

7 2. Faults in Nano-Electronic Circuits The technology of ultra large-scale integration is driven by mobile communications. Smart phones have to be smart and cheap, but not ultra-reliable, limited lifetime of 2-3 years is well acceptable!! ICs fabricated in advanced technologie with dimensions down to 14 nm are: - more volatile to external influences like particle radiation and electromagnetic radiation, - subject to aging mechanisms that modify circuit parameters such as transistor threshold voltages, - subject to sudden deadly fault effects such as dielectric gate rupture, which cause permanent faults.

8 Transient Fault Effects Charged particles Discharge of memory cells and circuit nodes + EM coupling Sources for transient faults: -Radiation -EM coupling -Vdd- and GND-noise

9 Permanent Faults metal migration low- k insulator deterioration Metal 3 Polyimide (low-k) Metal 2 Via Field- Oxide n n p n-well p Gate Oxide (high-k) Metal 1 Transistor deterioration (HCI, NBTI), eventually gate oxide shorts!

10 Life Time Bathtub Curve Error rate nano - electronics normal technologies Early life Normal operation Wear-out time Devices in nano-technologies show stress-induced aging and then deterioration of critical parameters (threshold voltage, switching speed).

11 3. Classical Fault Tolerant Computing Fault tolerant computing is an old technology. Traditionally ist was developed for: - Avionics (electronics in airplanes) - Space flight vehicles - Nuclear power stations because of high-radiation-environments and - automotive electronics - medical electronics possibly because of electromagnetic coupling problems. Emphasis has been on the detection and compensation of transient fault effects.

12 Duplication and Comparison in Unit 1 out Unit 2 Compare error detect Applicability to any type of fault (permanent, intermittent, transition) Fast error detection within the same clock cycle More than double overhead in hardware More than double power! Back-up in case of de-funct units!

13 Triple Modular Redundancy (TMR) input signal Execution Unit 1 Execution Unit 2 Comparator Voter Result out (majority) Execution Unit 3 Error detect Can detect and compensate almost any type of fault Overhead about %, additional signal delays The voter itself is not covered but must be a self checking checker Standard (by law) in avionics applications! Back-up in case of de-funct units!

14 Code-Based Error Detection Data Data Transmission / Storage Error correction Signature Often applicable to 1- or 2-bit faults only Often limited to certain fault models (uni-directional) Can handle permanent faults and transient faults Signature Comparison Signature Faultdetect No back-up in case of de-funct units!

15 Coding with Predictor Unit Data Computational Unit Data Error correction Data out Signature Predictor Unit Signature Comparison Faultdetect Problem: The signature predictor unit may be almost as expensive as the computational unit itself, but cannot replace it in case of a permanent fault!

16 Software-Based Error Detection & Correction by virtual TMR in Time Task n Task n Task n copy copy Run first Run again Run again Processor out1 out2 out3 store store store compare fault In case a difference between runs 1 and 2 occurs, a third run may serve to perform a decision by majority vote (virtual TMR). Little extra hardware Applicable to transitional faults only, does not work for permanent faults! Double / triple time, double / triple energy!!

17 Reliability and Life Time During the normal life time of the system, duplication or triplication can enhance reliability significantly. But also area and power consumption are about triplicated. And by the end of normal operating time (out of fuel / steam) all three units in TMR - systems will fail shortly one after the other!! Reliability enhancement is not equal to life time extension!!

18 4. Transient Faults and Delays In processors, combinational logic is primarily used in two ways: 1...in control logic, which is mostly not extremely time-critical, 2...in arithmetic or logic blocks which are part of processor pipelines. With power dissipation problems in processors getting worse and worse with structural down-scaling, processor designs that allow for down-scaling the supply voltage down to 0.6 V are badly needed.

19 Catching Transient Faults Input- FFs Particle hits combinational logic Output- FFs FF1 delay FF2 MC- Element particle hits FF

20 Events Triggered by Transient Faults Single event transits: H L In combinational logic, a short glitch is triggered by radiation, after which the circuit node affected returns to the correct value Single event upsets: H L In storage elements such as flip-flops, a particle may set the node to a false value until the next write event or the next clock cycle. and a single particle may even trigger multiple upsets!

21 Delay Problems Input - FFs Combinational Logic Output FFs exceptionally long and therefore slow logic paths!

22 Pipeline Organisation Flip-Flops / Register Komb. Logik Flip-Flops / Register Komb. Logik Flip-Flops / Register Komb. Logik Flip-Flops / Register Stabilize WB- Stage Last RAZOR latch RAZOR technology: Detect and repair late-arrivals of transitions, induced by statistical variations of path delays for low VDD (Univ. of Illinois).

23 Delays and Transient Faults Units designed for delay fault correction will not automatically detect or even correct transient faults. So far, delay fault detection / correction has been applied to known longest paths only because of relatively high cost. Advanced Bubble Razor concepts are known which can detect / correct permanent and transient faults by different machanisms. but if applied to all outputs for complete coverage the overhead may be above 100 %!!

24 Muller-C plus Comparator LA1 MC Combinat. Logic cl2 cl1 TS LA2 + KL Combinat. Logic cl1 & error ELA error latch The Muller C-element acts as a glitch filter. Delayed transitions are detected by the XOR comparator. But the MC-element passes the wrong value in case of a delayed transition. A pipeline stall mechanism is needed!

25 5. Detection and Compensation of Permanent Faults Code-based methods: (e. g. Hamming Code) They cover only relatively few faults bits, typically one or two. Then fail if transient faults come on top of permanent faults. Triple modular redundancy (TMR) They will produce the correct result with only moderate delays, often within the same clock cycle. But more than 200 % extra hardware and extra power! Virtual triple modular redundancy Either re-uses the same hardware several times (then not suitable for permanent faults!) or borrows extra hardware from next door units. Reduces peak power and hardware at the cost of extra time. But typically needs a duplication of power for fast on-line fault detection!

26 The Pseudo-TMR-Scheme Normal operation Pseudo-TMR-mode function 1 function 2 FB11 FB12 FB21 Comp1 Comp2 Upon error detect FB11 FB12 FB21 Comp1 Comp2 Voter FB22 FB22 function 3 FB31 Comp3 FB31 Comp3 FB32 FB32

27 Self Repair or Self Healing Storage of spare parts Repair Unit Active Circuit Upon registration of a permanent fault, the circuit undergoes an off-line process of re-configuration for replacement of (permanently) faulty units. Less extra power than with TMR, therefore longer system life time expected, The repair process is complex and slow, requiring in-system diagnostic testing. The repair process must be done off-line, while the system is at rest or at start-up.

28 Granularity of Self Repair Repair procedure overhead Prohibitive overhead New Methods and Architectures Prohibitive fault density Functioning elements lost k 10k 100k 1M 10M Size of replaced blocks (granularity)

29 Regular Blocks and Backup inputs Functional Block 1 outputs inputs Functional Block 1 outputs Functional Block 2 Functional Block 2 Functional Block 3 Functional Block 3 Test in Replace - ment Block Test out Test in Replace - ment Block Test out 1 2

30 Regular Blocks and Backup (2) inputs outputs inputs outputs Functional Block 1 Functional Block 1 Functional Block 2 Functional Block 2 Functional Block 3 Functional Block 3 Test in Replacement Block Test out Test in Replacement Block Test out 3 4

31 6. Software-Based Self Repair Structure of a regular multi-slot-processor (e. g. Very Long Instruction Word Processor, VLIW) needs logic-bisr Crtl.- Logic Register File Add Multiple parallel processing units Mult The processor can perform a software-based self repair process by re-allocating functional units to tasks according to the fault condition!

32 Regular Processors They contain several building blocks (slots) of equal type and structure such as adders, shifters, registers, etc. In case of a permanent fault in one unit, the processor can be re-organized to continue working with fewer units and reduced performance. Therefore the schedule and allocation of operations must be re-organized. This can be done in software by re-allocation. Long Instruction Word FU11 Type 1 FU12 Type 1 FU21 Type 2 FU22 Type 2 FUnk Type n

33 Processor Repair Long Instruction Word FU11 Type 1 FU12 Type 1 FU21 Type 2 FU22 Type 2 FUnk Type n Long Instruction Word FU11 Type 1 FU12 Type 1 FU21 Type 2 FU22 Type 2 FUnk Type n

34 Multi-Core- Units Core 1 Spare Units Core 2 Spare Units Repair Administrator Spare Units Core 3 Spare Units Core 4 Spare units for self-repair can be allocated to different processor units in a processor cluster.

35 7. Error Prevention at Minimum Cost What we need is a system-specific methodology that trades degrees of feedom in timing against requirements of dependability.

36 Strategies same clock cycle online delay of 1-3 clock cycles Short Transient Fault Detect & Correct Delay Fault Detect & Correct Specific fault detect & correct by coding General fault detect by duplication Virtual TMR & vote Full TMR & vote offline Self Repair (very little extra power on-line) 10% 30% 100 % 200 % Extra Power

37 Total Error Resilience Monitoring of critical parameters of ICs which indicate stress and aging (switching speed, currents). Detection and compensation of non-permanent faults at minimum cost in extra power using reserves in system timing parameters. Built-in self test with diagnostic features to identify faulty units. Built-in self repair (off-line) to replace defect parts and units that show wear-out-effects.

38 8. Summary and Conclusions Error resilience is a system- and application specific technology to cope with faults in real operation. Fault detection & correction that works for any type of fault is expensive in power and hardware. Monitoring circuits & systems to identify weak spots becomes a must. Tolerating the fault for seconds or minutes before off-line repair may save a lot of efforts. Correction within the same clock cycle is expensive, 1-3 extra clock cycles may save half the overhead in power. Self repair based on sleeping redundancy is cheap in terms of extra power, but is slow and may be used primarily for life time extension in case of early life failures. We may need some extra functions in the operating system that serve error management, scheduling of self repair, and monitoring the inventory of spare parts!

39 Thank You for Your Attention! Questions??

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy

Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy Wei Chen, Rui Gong, Fang Liu, Kui Dai, Zhiying Wang School of Computer, National University of Defense Technology,

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 3 - Resilient Structures Chapter 2 HW Fault Tolerance Part.3.1 M-of-N Systems An M-of-N system consists of N identical

More information

Introduction to Robust Systems

Introduction to Robust Systems Introduction to Robust Systems Subhasish Mitra Stanford University Email: subh@stanford.edu 1 Objective of this Talk Brainstorm What is a robust system? How can we build robust systems? Robust systems

More information

Ultra Low-Cost Defect Protection for Microprocessor Pipelines

Ultra Low-Cost Defect Protection for Microprocessor Pipelines Ultra Low-Cost Defect Protection for Microprocessor Pipelines Smitha Shyam Kypros Constantinides Sujay Phadke Valeria Bertacco Todd Austin Advanced Computer Architecture Lab University of Michigan Key

More information

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs

On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPGAs On Supporting Adaptive Fault Tolerant at Run-Time with Virtual FPAs K. Siozios 1, D. Soudris 1 and M. Hüebner 2 1 School of ECE, National Technical University of Athens reece Email: {ksiop, dsoudris}@microlab.ntua.gr

More information

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths

HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths HW/SW Co-Detection of Transient and Permanent Faults with Fast Recovery in Statically Scheduled Data Paths Mario Schölzel Department of Computer Science Brandenburg University of Technology Cottbus, Germany

More information

VLSI Test Technology and Reliability (ET4076)

VLSI Test Technology and Reliability (ET4076) VLSI Test Technology and Reliability (ET4076) Lecture 8(2) I DDQ Current Testing (Chapter 13) Said Hamdioui Computer Engineering Lab Delft University of Technology 2009-2010 1 Learning aims Describe the

More information

SEE Tolerant Self-Calibrating Simple Fractional-N PLL

SEE Tolerant Self-Calibrating Simple Fractional-N PLL SEE Tolerant Self-Calibrating Simple Fractional-N PLL Robert L. Shuler, Avionic Systems Division, NASA Johnson Space Center, Houston, TX 77058 Li Chen, Department of Electrical Engineering, University

More information

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY

DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY DATAPATH ARCHITECTURE FOR RELIABLE COMPUTING IN NANO-SCALE TECHNOLOGY A thesis work submitted to the faculty of San Francisco State University In partial fulfillment of The requirements for The Degree

More information

Leso Martin, Musil Tomáš

Leso Martin, Musil Tomáš SAFETY CORE APPROACH FOR THE SYSTEM WITH HIGH DEMANDS FOR A SAFETY AND RELIABILITY DESIGN IN A PARTIALLY DYNAMICALLY RECON- FIGURABLE FIELD-PROGRAMMABLE GATE ARRAY (FPGA) Leso Martin, Musil Tomáš Abstract:

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.)

Overview ECE 753: FAULT-TOLERANT COMPUTING 1/21/2014. Recap. Fault Modeling. Fault Modeling (contd.) Fault Modeling (contd.) ECE 753: FAULT-TOLERANT COMPUTING Kewal K.Saluja Department of Electrical and Computer Engineering Fault Modeling Lectures Set 2 Overview Fault Modeling References Fault models at different levels (HW)

More information

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007

TU Wien. Fault Isolation and Error Containment in the TT-SoC. H. Kopetz. TU Wien. July 2007 TU Wien 1 Fault Isolation and Error Containment in the TT-SoC H. Kopetz TU Wien July 2007 This is joint work with C. El.Salloum, B.Huber and R.Obermaisser Outline 2 Introduction The Concept of a Distributed

More information

FPGA Programming Technology

FPGA Programming Technology FPGA Programming Technology Static RAM: This Xilinx SRAM configuration cell is constructed from two cross-coupled inverters and uses a standard CMOS process. The configuration cell drives the gates of

More information

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P.

Chapter 8. Coping with Physical Failures, Soft Errors, and Reliability Issues. System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. Chapter 8 Coping with Physical Failures, Soft Errors, and Reliability Issues System-on-Chip EE141 Test Architectures Ch. 8 Physical Failures - P. 1 1 What is this chapter about? Gives an Overview of and

More information

Fault Tolerance. The Three universe model

Fault Tolerance. The Three universe model Fault Tolerance High performance systems must be fault-tolerant: they must be able to continue operating despite the failure of a limited subset of their hardware or software. They must also allow graceful

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

Outline of Presentation Field Programmable Gate Arrays (FPGAs(

Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGA Architectures and Operation for Tolerating SEUs Chuck Stroud Electrical and Computer Engineering Auburn University Outline of Presentation Field Programmable Gate Arrays (FPGAs( FPGAs) How Programmable

More information

Chip, Heal Thyself. The BulletProof Project

Chip, Heal Thyself. The BulletProof Project Chip, Heal Thyself Todd Austin Advanced Computer Architecture Lab University of Michigan With Prof. Valeria Bertacco, Prof. Scott Mahlke Kypros Constantinides, Smitha Shyam Mojtaba Mehrara, Mona Attariyan,

More information

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA

DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA DESIGN AND ANALYSIS OF SOFTWARE FAULTTOLERANT TECHNIQUES FOR SOFTCORE PROCESSORS IN RELIABLE SRAM-BASED FPGA 1 Vatsya Tiwari M.Tech Student Department of computer science & engineering Abstract. This paper

More information

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés

RELIABILITY and RELIABLE DESIGN. Giovanni De Micheli Centre Systèmes Intégrés RELIABILITY and RELIABLE DESIGN Giovanni Centre Systèmes Intégrés Outline Introduction to reliable design Design for reliability Component redundancy Communication redundancy Data encoding and error correction

More information

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems

Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Area Efficient Scan Chain Based Multiple Error Recovery For TMR Systems Kripa K B 1, Akshatha K N 2,Nazma S 3 1 ECE dept, Srinivas Institute of Technology 2 ECE dept, KVGCE 3 ECE dept, Srinivas Institute

More information

CMP annual meeting, January 23 rd, 2014

CMP annual meeting, January 23 rd, 2014 J.P.Nozières, G.Prenat, B.Dieny and G.Di Pendina Spintec, UMR-8191, CEA-INAC/CNRS/UJF-Grenoble1/Grenoble-INP, Grenoble, France CMP annual meeting, January 23 rd, 2014 ReRAM V wr0 ~-0.9V V wr1 V ~0.9V@5ns

More information

Dependable VLSI Platform using Robust Fabrics

Dependable VLSI Platform using Robust Fabrics Dependable VLSI Platform using Robust Fabrics Director H. Onodera, Kyoto Univ. Principal Researchers T. Onoye, Y. Mitsuyama, K. Kobayashi, H. Shimada, H. Kanbara, K. Wakabayasi Background: Overall Design

More information

Highly Parallel Wafer Level Reliability Systems with PXI SMUs

Highly Parallel Wafer Level Reliability Systems with PXI SMUs Highly Parallel Wafer Level Reliability Systems with PXI SMUs Submitted by National Instruments Overview Reliability testing has long served as a method of ensuring that semiconductor devices maintain

More information

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University

Soft-error and Variability Resilience in Dependable VLSI Platform. Hidetoshi Onodera Kyoto University Soft-error and Variability Resilience in Dependable VLSI Platform Hidetoshi Onodera Kyoto University Outline: Soft-error and Variability Resilience 1 Background Overview: Dependable VLSI Platform Circuit-level

More information

ARCHITECTURE DESIGN FOR SOFT ERRORS

ARCHITECTURE DESIGN FOR SOFT ERRORS ARCHITECTURE DESIGN FOR SOFT ERRORS Shubu Mukherjee ^ШВпШшр"* AMSTERDAM BOSTON HEIDELBERG LONDON NEW YORK OXFORD PARIS SAN DIEGO T^"ТГПШГ SAN FRANCISCO SINGAPORE SYDNEY TOKYO ^ P f ^ ^ ELSEVIER Morgan

More information

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline

Outline. Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication. Outline Parity-based ECC and Mechanism for Detecting and Correcting Soft Errors in On-Chip Communication Khanh N. Dang and Xuan-Tu Tran Email: khanh.n.dang@vnu.edu.vn VNU Key Laboratory for Smart Integrated Systems

More information

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy

A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy A Fault-Tolerant Alternative to Lockstep Triple Modular Redundancy Andrew L. Baldwin, BS 09, MS 12 W. Robert Daasch, Professor Integrated Circuits Design and Test Laboratory Problem Statement In a fault

More information

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992

Redundancy in fault tolerant computing. D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 Redundancy in fault tolerant computing D. P. Siewiorek R.S. Swarz, Reliable Computer Systems, Prentice Hall, 1992 1 Redundancy Fault tolerance computing is based on redundancy HARDWARE REDUNDANCY Physical

More information

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5. EECS 427 F09 Lecture Reminders EECS 427 Lecture 17: Memory Reliability and Power Readings: 12.4,12.5 1 Reminders Deadlines HW4 is due Tuesday 11/17 at 11:59 pm (email submission) CAD8 is due Saturday 11/21 at 11:59 pm Quiz 2 is on Wednesday

More information

FAULT TOLERANT SYSTEMS

FAULT TOLERANT SYSTEMS FAULT TOLERANT SYSTEMS http://www.ecs.umass.edu/ece/koren/faulttolerantsystems Part 18 Chapter 7 Case Studies Part.18.1 Introduction Illustrate practical use of methods described previously Highlight fault-tolerance

More information

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler

Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Challenges for Non Volatile Memory (NVM) for Automotive High Temperature Operating Conditions Alexander Muffler Product Marketing Manager Automotive, X-FAB Outline Introduction NVM Technology & Design

More information

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions

OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions OUTLINE Introduction Power Components Dynamic Power Optimization Conclusions 04/15/14 1 Introduction: Low Power Technology Process Hardware Architecture Software Multi VTH Low-power circuits Parallelism

More information

Dependability. IC Life Cycle

Dependability. IC Life Cycle Dependability Alberto Bosio, Associate Professor UM Microelectronic Departement bosio@lirmm.fr IC Life Cycle User s Requirements Design Re-Cycling In-field Operation Production 2 1 IC Life Cycle User s

More information

Electromagnetic Compatibility ( EMC )

Electromagnetic Compatibility ( EMC ) Electromagnetic Compatibility ( EMC ) ESD Strategies in IC and System Design 8-1 Agenda ESD Design in IC Level ( ) Design Guide Lines CMOS Design Process Level Method Circuit Level Method Whole Chip Design

More information

Power Consumption in 65 nm FPGAs

Power Consumption in 65 nm FPGAs White Paper: Virtex-5 FPGAs R WP246 (v1.2) February 1, 2007 Power Consumption in 65 nm FPGAs By: Derek Curd With the introduction of the Virtex -5 family, Xilinx is once again leading the charge to deliver

More information

Single Event Upset Mitigation Techniques for SRAM-based FPGAs

Single Event Upset Mitigation Techniques for SRAM-based FPGAs Single Event Upset Mitigation Techniques for SRAM-based FPGAs Fernanda de Lima, Luigi Carro, Ricardo Reis Universidade Federal do Rio Grande do Sul PPGC - Instituto de Informática - DELET Caixa Postal

More information

Fault-Tolerant Computing

Fault-Tolerant Computing Fault-Tolerant Computing Hardware Design Methods Nov. 2007 Hardware Implementation Strategies Slide 1 About This Presentation This presentation has been prepared for the graduate course ECE 257A (Fault-Tolerant

More information

An Integrated ECC and BISR Scheme for Error Correction in Memory

An Integrated ECC and BISR Scheme for Error Correction in Memory An Integrated ECC and BISR Scheme for Error Correction in Memory Shabana P B 1, Anu C Kunjachan 2, Swetha Krishnan 3 1 PG Student [VLSI], Dept. of ECE, Viswajyothy College Of Engineering & Technology,

More information

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery

Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Data Retention in MLC NAND Flash Memory: Characterization, Optimization, and Recovery Yu Cai, Yixin Luo, Erich F. Haratsch*, Ken Mai, Onur Mutlu Carnegie Mellon University, *LSI Corporation 1 Many use

More information

Robust System Design with MPSoCs Unique Opportunities

Robust System Design with MPSoCs Unique Opportunities Robust System Design with MPSoCs Unique Opportunities Subhasish Mitra Robust Systems Group Departments of Electrical Eng. & Computer Sc. Stanford University Email: subh@stanford.edu Acknowledgment: Stanford

More information

Dependability tree 1

Dependability tree 1 Dependability tree 1 Means for achieving dependability A combined use of methods can be applied as means for achieving dependability. These means can be classified into: 1. Fault Prevention techniques

More information

Single Event Latchup Power Switch Cell Characterisation

Single Event Latchup Power Switch Cell Characterisation Single Event Latchup Power Switch Cell Characterisation Vladimir Petrovic, Marko Ilic, Gunter Schoof Abstract - In this paper are described simulation and measurement processes of a power switch cell used

More information

ECE 574 Cluster Computing Lecture 19

ECE 574 Cluster Computing Lecture 19 ECE 574 Cluster Computing Lecture 19 Vince Weaver http://www.eece.maine.edu/~vweaver vincent.weaver@maine.edu 10 November 2015 Announcements Projects HW extended 1 MPI Review MPI is *not* shared memory

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates

Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under Massive Defect Rates International Journal of Reconfigurable Computing Volume 2, Article ID 452589, 7 pages doi:.55/2/452589 Research Article Dynamic Reconfigurable Computing: The Alternative to Homogeneous Multicores under

More information

Physical Implementation

Physical Implementation CS250 VLSI Systems Design Fall 2009 John Wawrzynek, Krste Asanovic, with John Lazzaro Physical Implementation Outline Standard cell back-end place and route tools make layout mostly automatic. However,

More information

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof.

Transient Fault Detection and Reducing Transient Error Rate. Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Transient Fault Detection and Reducing Transient Error Rate Jose Lugo-Martinez CSE 240C: Advanced Microarchitecture Prof. Steven Swanson Outline Motivation What are transient faults? Hardware Fault Detection

More information

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141

ECE 637 Integrated VLSI Circuits. Introduction. Introduction EE141 ECE 637 Integrated VLSI Circuits Introduction EE141 1 Introduction Course Details Instructor Mohab Anis; manis@vlsi.uwaterloo.ca Text Digital Integrated Circuits, Jan Rabaey, Prentice Hall, 2 nd edition

More information

Diagnosis in the Time-Triggered Architecture

Diagnosis in the Time-Triggered Architecture TU Wien 1 Diagnosis in the Time-Triggered Architecture H. Kopetz June 2010 Embedded Systems 2 An Embedded System is a Cyber-Physical System (CPS) that consists of two subsystems: A physical subsystem the

More information

Distributed Systems COMP 212. Lecture 19 Othon Michail

Distributed Systems COMP 212. Lecture 19 Othon Michail Distributed Systems COMP 212 Lecture 19 Othon Michail Fault Tolerance 2/31 What is a Distributed System? 3/31 Distributed vs Single-machine Systems A key difference: partial failures One component fails

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005

Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Qualification Strategies of Field Programmable Gate Arrays (FPGAs) for Space Application October 26, 2005 Douglas Sheldon Harald Schone Historical FPGAs have been used in spacecraft for over 10 years.

More information

Last Class:Consistency Semantics. Today: More on Consistency

Last Class:Consistency Semantics. Today: More on Consistency Last Class:Consistency Semantics Consistency models Data-centric consistency models Client-centric consistency models Eventual Consistency and epidemic protocols Lecture 16, page 1 Today: More on Consistency

More information

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend

Memory Design I. Semiconductor Memory Classification. Read-Write Memories (RWM) Memory Scaling Trend. Memory Scaling Trend Array-Structured Memory Architecture Memory Design I Professor hris H. Kim University of Minnesota Dept. of EE chriskim@ece.umn.edu 2 Semiconductor Memory lassification Read-Write Memory Non-Volatile Read-Write

More information

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM

A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 09, 2016 ISSN (online): 2321-0613 A Review Paper on Reconfigurable Techniques to Improve Critical Parameters of SRAM Yogit

More information

Technical Report. A Performance-efficient and practical processor error recovery framework. Jyothish Soman. Number 931.

Technical Report. A Performance-efficient and practical processor error recovery framework. Jyothish Soman. Number 931. Technical Report UCAM-CL-TR-931 ISSN 1476-2986 Number 931 Computer Laboratory A Performance-efficient and practical processor error recovery framework Jyothish Soman January 2019 15 JJ Thomson Avenue Cambridge

More information

ECE 486/586. Computer Architecture. Lecture # 2

ECE 486/586. Computer Architecture. Lecture # 2 ECE 486/586 Computer Architecture Lecture # 2 Spring 2015 Portland State University Recap of Last Lecture Old view of computer architecture: Instruction Set Architecture (ISA) design Real computer architecture:

More information

Comparison of SET-Resistant Approaches for Memory-Based Architectures

Comparison of SET-Resistant Approaches for Memory-Based Architectures Comparison of SET-Resistant Approaches for Memory-Based Architectures Daniel R. Blum and José G. Delgado-Frias School of Electrical Engineering and Computer Science Washington State University Pullman,

More information

FAULT TOLERANCE. Fault Tolerant Systems. Faults Faults (cont d)

FAULT TOLERANCE. Fault Tolerant Systems. Faults Faults (cont d) Distributed Systems Fö 9/10-1 Distributed Systems Fö 9/10-2 FAULT TOLERANCE 1. Fault Tolerant Systems 2. Faults and Fault Models. Redundancy 4. Time Redundancy and Backward Recovery. Hardware Redundancy

More information

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration

Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration Fault-tolerant system design using novel majority voters of 5-modular redundancy configuration V.Elamaran, G.Rajkumar, N.Raju, K.Narasimhan, Har Narayan Upadhyay School of EEE, Department of ECE, SASTRA

More information

6. Fault Tolerance. CS 313 High Integrity Systems; CS M13 Critical Systems; Michaelmas Term 2009, Sect

6. Fault Tolerance. CS 313 High Integrity Systems; CS M13 Critical Systems; Michaelmas Term 2009, Sect 6. Fault Tolerance (a) Introduction. (b) Types of faults. (c) Fault models. (d) Fault coverage. (e) Redundancy. (f) Fault detection techniques. (g) Hardware fault tolerance. (h) Software fault tolerance.

More information

Chapter 4. The Processor

Chapter 4. The Processor Chapter 4 The Processor Introduction CPU performance factors Instruction count Determined by ISA and compiler CPI and Cycle time Determined by CPU hardware We will examine two MIPS implementations A simplified

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

ECE 156B Fault Model and Fault Simulation

ECE 156B Fault Model and Fault Simulation ECE 156B Fault Model and Fault Simulation Lecture 6 ECE 156B 1 What is a fault A fault is a hypothesis of what may go wrong in the manufacturing process In fact, a fault model is not trying to model the

More information

Implementation Issues. Remote-Write Protocols

Implementation Issues. Remote-Write Protocols Implementation Issues Two techniques to implement consistency models Primary-based protocols Assume a primary replica for each data item Primary responsible for coordinating all writes Replicated write

More information

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies

Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies Ultra Depedable VLSI by Collaboration of Formal Verifications and Architectural Technologies CREST-DVLSI - Fundamental Technologies for Dependable VLSI Systems - Masahiro Fujita Shuichi Sakai Masahiro

More information

describe how ESD is created list the causes of ESD describe and use ESD protection methods

describe how ESD is created list the causes of ESD describe and use ESD protection methods ESD All rights reserved. Welcome to the ESD training module. If you need help navigating this module, please click the button in the top right corner. To view the presenter notes as text, please click

More information

A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores

A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores J Electron Test (2016) 32:147 161 DOI 10.1007/s10836-016-5578-0 A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores I. Wali 1 Arnaud Virazel 1 A. Bosio 1 P. Girard 1 S. Pravossoudovitch

More information

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM

Memory. Outline. ECEN454 Digital Integrated Circuit Design. Memory Arrays. SRAM Architecture DRAM. Serial Access Memories ROM ECEN454 Digital Integrated Circuit Design Memory ECEN 454 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitry Multiple Ports DRAM Outline Serial Access Memories ROM ECEN 454 12.2 1 Memory

More information

Improving FPGA Design Robustness with Partial TMR

Improving FPGA Design Robustness with Partial TMR Improving FPGA Design Robustness with Partial TMR Brian Pratt, Michael Caffrey, Paul Graham, Keith Morgan, Michael Wirthlin Abstract This paper describes an efficient approach of applying mitigation to

More information

VLSI microarchitecture. Scaling. Toni Juan

VLSI microarchitecture. Scaling. Toni Juan VLSI microarchitecture Scaling Toni Juan Short index Administrative glass schedule projects? Topic of the day: Scaling VLSI microarchitecture Toni Juan, Nov 2000 2 Administrative Class Schedule Day Topic

More information

Test and Reliability of Emerging Non-Volatile Memories

Test and Reliability of Emerging Non-Volatile Memories Test and Reliability of Emerging Non-Volatile Memories Elena Ioana Vătăjelu, Lorena Anghel TIMA Laboratory, Grenoble, France Outline Emerging Non-Volatile Memories Defects and Fault Models Test Algorithms

More information

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu

Mohsen Imani. University of California San Diego. System Energy Efficiency Lab seelab.ucsd.edu Mohsen Imani University of California San Diego Winter 2016 Technology Trend for IoT http://www.flashmemorysummit.com/english/collaterals/proceedi ngs/2014/20140807_304c_hill.pdf 2 Motivation IoT significantly

More information

Correction Prediction: Reducing Error Correction Latency for On-Chip Memories

Correction Prediction: Reducing Error Correction Latency for On-Chip Memories Correction Prediction: Reducing Error Correction Latency for On-Chip Memories Henry Duwe University of Illinois at Urbana-Champaign Email: duweiii2@illinois.edu Xun Jian University of Illinois at Urbana-Champaign

More information

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation

Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Safety and Reliability of Software-Controlled Systems Part 14: Fault mitigation Prof. Dr.-Ing. Stefan Kowalewski Chair Informatik 11, Embedded Software Laboratory RWTH Aachen University Summer Semester

More information

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters

Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters Enabling Testability of Fault-Tolerant Circuits by Means of IDDQ-Checkable Voters ECE 7502 Class Discussion Ningxi Liu 14 th Apr 2015 ECE 7502 S2015 Customer Validate Requirements Verify Specification

More information

Transistors and Wires

Transistors and Wires Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis Part II These slides are based on the slides provided by the publisher. The slides

More information

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public

Reduce Your System Power Consumption with Altera FPGAs Altera Corporation Public Reduce Your System Power Consumption with Altera FPGAs Agenda Benefits of lower power in systems Stratix III power technology Cyclone III power Quartus II power optimization and estimation tools Summary

More information

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process

Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process Chapter 2 On-Chip Protection Solution for Radio Frequency Integrated Circuits in Standard CMOS Process 2.1 Introduction Standard CMOS technologies have been increasingly used in RF IC applications mainly

More information

Issues in Programming Language Design for Embedded RT Systems

Issues in Programming Language Design for Embedded RT Systems CSE 237B Fall 2009 Issues in Programming Language Design for Embedded RT Systems Reliability and Fault Tolerance Exceptions and Exception Handling Rajesh Gupta University of California, San Diego ES Characteristics

More information

Digital IO PAD Overview and Calibration Scheme

Digital IO PAD Overview and Calibration Scheme Digital IO PAD Overview and Calibration Scheme HyunJin Kim School of Electronics and Electrical Engineering Dankook University Contents 1. Introduction 2. IO Structure 3. ZQ Calibration Scheme 4. Conclusion

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings

Today. ESE532: System-on-a-Chip Architecture. Message. Intel Xeon Phi Offerings. Preclass 1 and Intel Xeon Phi Offerings. Intel Xeon Phi Offerings ESE532: System-on-a-Chip Architecture Day 24: April 17, 2017 Defect Tolerance Today Reliability Challenges Defect Tolerance Memories Interconnect FPGA FPGA Variation and Energy 1 2 Message At small feature

More information

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing

Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Error Mitigation of Point-to-Point Communication for Fault-Tolerant Computing Authors: Robert L Akamine, Robert F. Hodson, Brock J. LaMeres, and Robert E. Ray www.nasa.gov Contents Introduction to the

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Distributed Systems

Distributed Systems 15-440 Distributed Systems 11 - Fault Tolerance, Logging and Recovery Tuesday, Oct 2 nd, 2018 Logistics Updates P1 Part A checkpoint Part A due: Saturday 10/6 (6-week drop deadline 10/8) *Please WORK hard

More information

ALMA Memo No Effects of Radiation on the ALMA Correlator

ALMA Memo No Effects of Radiation on the ALMA Correlator ALMA Memo No. 462 Effects of Radiation on the ALMA Correlator Joseph Greenberg National Radio Astronomy Observatory Charlottesville, VA July 8, 2003 Abstract This memo looks specifically at the effects

More information

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test

Page 1. Outline. A Good Reference and a Caveat. Testing. ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems. Testing and Design for Test Page Outline ECE 254 / CPS 225 Fault Tolerant and Testable Computing Systems Testing and Design for Test Copyright 24 Daniel J. Sorin Duke University Introduction and Terminology Test Generation for Single

More information

hal , version 1-14 Feb 2012 Abstract

hal , version 1-14 Feb 2012 Abstract Author manuscript, published in "Microelectronics Reliability, 9 () p14-18" DOI : 16/jmicrorel7 Ageing effect on electromagnetic susceptibility of a phase-locked-loop B Li 1, A Boyer, S Bendhia, C Lemoine

More information

Distributed Systems 24. Fault Tolerance

Distributed Systems 24. Fault Tolerance Distributed Systems 24. Fault Tolerance Paul Krzyzanowski pxk@cs.rutgers.edu 1 Faults Deviation from expected behavior Due to a variety of factors: Hardware failure Software bugs Operator errors Network

More information

Spare Block Cache Architecture to Enable Low-Voltage Operation

Spare Block Cache Architecture to Enable Low-Voltage Operation Portland State University PDXScholar Dissertations and Theses Dissertations and Theses 1-1-2011 Spare Block Cache Architecture to Enable Low-Voltage Operation Nafiul Alam Siddique Portland State University

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010

Lecture 11 SRAM Zhuo Feng. Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 2010 EE4800 CMOS Digital IC Design & Analysis Lecture 11 SRAM Zhuo Feng 11.1 Memory Arrays SRAM Architecture SRAM Cell Decoders Column Circuitryit Multiple Ports Outline Serial Access Memories 11.2 Memory Arrays

More information

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 1. Copyright 2012, Elsevier Inc. All rights reserved. Computer Technology Computer Architecture A Quantitative Approach, Fifth Edition Chapter 1 Fundamentals of Quantitative Design and Analysis 1 Computer Technology Performance improvements: Improvements in semiconductor technology

More information

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs

Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Error Detection Techniques Applicable in an Architecture Framework and Design Methodology for Autonomic SoCs Abdelmajid Bouajila 1, Andreas Bernauer 2, Andreas Herkersdorf 1, Wolfgang Rosenstiel 2,3, Oliver

More information

! Memory. " RAM Memory. " Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell. " Used in most commercial chips

! Memory.  RAM Memory.  Serial Access Memories. ! Cell size accounts for most of memory array size. ! 6T SRAM Cell.  Used in most commercial chips ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec : April 5, 8 Memory: Periphery circuits Today! Memory " RAM Memory " Architecture " Memory core " SRAM " DRAM " Periphery " Serial Access Memories

More information

Qualification for Reliability and other programs at the

Qualification for Reliability and other programs at the Qualification for Reliability and other programs at the Maryland/Israel Center for Nano -Product Realization Joseph B. Bernstein University of Maryland Bar Ilan University Microelectronics Device Reliability

More information

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts

Embedded Systems Design: A Unified Hardware/Software Introduction. Outline. Chapter 5 Memory. Introduction. Memory: basic concepts Hardware/Software Introduction Chapter 5 Memory Outline Memory Write Ability and Storage Permanence Common Memory Types Composing Memory Memory Hierarchy and Cache Advanced RAM 1 2 Introduction Memory:

More information