Department of Electronics & Communication Engineering Lab Manual E-CAD Lab

Size: px
Start display at page:

Download "Department of Electronics & Communication Engineering Lab Manual E-CAD Lab"

Transcription

1 Department of Electronics & Communication Engineering Lab Manual E-CAD Lab Prasad V. Potluri Siddhartha Institute of Technology (Sponsored by: Siddhartha Academy of General & Technical Education) Affiliated to JNTU- Kakinada Approved by AICTE- New Delhi Kanuru, Vijayawada-7

2 List of Experiments. LOGIC GATES 2. IC7474 A POSITIVE EDGE TRIGGERING D FLIP FLOP 3. IC 74x9 DECADE COUNTER 4. IC 74x93 4 -BIT BINARY COUNTER 5. IC 74x95 SHIFT REGISTER 6. IC 74x94 UNIVERSAL SHIFT REGISTER 7. 3x8 DECODER 8. IC 74x85 4-BIT COMPARATOR 9. 8x MULTIPLEXER. 6X MULTIPLEXER. IC 74X89 READ AND WRITE OPERATIONS OF RAM

3 .LOGIC GATES AIM: Write a VHDL code for all the logic gates. #-TITLE: AND gate LOGIC GATE SYMBOL: TRUTH TABLE: 748N x y z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity AND2 is port( x : in STD_LOGIC; y : in STD_LOGIC; z : out STD_LOGIC end AND2; Dept. of ECE, PVPSIT.

4 --Dataflow model architecture behav of AND2 is Z<= x and y; --Signal Assignment Statement end behav; -- Behavioral model architecture behav2 of AND2 is process (x, y) if (x='' and y='') then -- Compare with truth table Z <= ''; else Z <= ''; end if; end process; end behav2; OUT PUT WAVE FORM: Dept. of ECE, PVPSIT. 2

5 #2-TITLE: OR gate LOGIC GATE SYMBOL: TRUTH TABLE: 7432 x y z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity OR2 is port( end OR2; x : in STD_LOGIC; y : in STD_LOGIC; z : out STD_LOGIC --Dataflow model architecture behav of OR2 is Z <= x or y; --Signal Assignment Statement end behav; Dept. of ECE, PVPSIT. 3

6 -- Behavioral model architecture behav2 of OR2 is process (x, y) if (x='' and y='') then -- Compare with truth table Z <= ''; else Z<= ''; end if; end process; end behav2; OUTPUT WAVEFORM: #3-TITLE: NOT gate LOGIC GATE SYMBOL: 744 Dept. of ECE, PVPSIT. 4

7 TRUTH TABLE: x z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity not is port( X: in STD_LOGIC; Z: out STD_LOGIC end not; --Dataflow model architecture behav of not is Z<= not X; --Signal Assignment Statement end behav; -- Behavioral model architecture behav2 of not is process (X) if (x='') then -- Compare with truth table Z <= ''; else Z<= ''; Dept. of ECE, PVPSIT. 5

8 end if; end process; end behav2; OUTPUT WAVEFORM: #4-TITLE: NAND gate LOGIC GATE SYMBOL: TRUTH TABLE: 74 x y z Dept. of ECE, PVPSIT. 6

9 VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity nand2 is port( end nand2; --Dataflow model x : in STD_LOGIC; y : in STD_LOGIC; z : out STD_LOGIC architecture behav of nand2 is z<= x nand y; --Signal Assignment Statement end behav; -- Behavioral model architecture behav2 of nand2 is Process (x, y) Begin If (x='' and y='') then -- Compare with truth table Z <= ''; else Z <= ''; end if; end process; end behav2; Dept. of ECE, PVPSIT. 7

10 OUTPUT WAVEFORM: #5- TITLE: NOR gate LOGIC GATE SYMBOL: TRUTH TABLE: 742 x y z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity nor2 is Port ( end nor2; X: in STD_LOGIC; Y: in STD_LOGIC; Z: out STD_LOGIC Dept. of ECE, PVPSIT. 8

11 --Dataflow model architecture behav of nor2 is end behav; -- Behavioral model architecture behav2 of nor2 is Z<= x nor y; --Signal Assignment Statement process (x, y) If (x='' and y='') then -- Compare with truth table Z <= ''; else Z <= ''; end if; end process; end behav2; OUTPUT WAVEFORM: Dept. of ECE, PVPSIT. 9

12 #6-TITLE: EX-OR gate LOGIC GATE SYMBOL: TRUTH TABLE: 7486 x y z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity xor2 is Port ( X: in STD_LOGIC; Y: in STD_LOGIC; Z: out STD_LOGIC end xor2; --Dataflow model architecture behav of xor2 is end behav; Z<= x xor y; --Signal Assignment Statement Dept. of ECE, PVPSIT.

13 -- Behavioral model architecture behav2 of xor2 is process (x, y) If (x/=y) then Z <= ''; else Z<= ''; end if; -- Compare with truth table end process; end behav2; OUTPUT WAVEFORM: #7-TITLE: EX-NOR gate LOGIC GATE SYMBOL: 7435 Dept. of ECE, PVPSIT.

14 TRUTH TABLE: x y z VHDL CODE: Library IEEE; use IEEE.std_logic_64.all; entity xnor2 is Port ( end xnor2; --Dataflow model X: in STD_LOGIC; Y: in STD_LOGIC; Z: out STD_LOGIC architecture behav of xnor2 is end behav; Z<= x xnor y; --Signal Assignment Statement -- Behavioral model architecture behav2 of xnor2 is process (x, y) Dept. of ECE, PVPSIT. 2

15 If (x=y) then Z <= ''; else Z<= ''; end if; -- Compare with truth table end process; end behav2; OUTPUT WAVEFORM: VIVA QUESTIONS:. Implement the following function using VHDL coding. (Try to minimize if you can). F(A,B,C,D)=(A +B+C). (A+B +D ). (B+C +D ). (A+B+C+D) 2. What will be the no. of rows in the truth table of N variables? 3. What are the advantages of VHDL? 4. Design Ex-OR gate using behavioral model? 5. Implement the following function using VHDL code f=ab+cd. 6. What are the differences between half adder and full adder? 7. What are the advantages of minimizing the logical expressions? 8. What does a combinational circuit mean? 9. Implement the half adder using VHDL code?. Implement the full adder using two half adders and write VHDL program in structural model? Dept. of ECE, PVPSIT. 3

16 2.IC7474 A POSITIVE EDGE TRIGGERING D FLIP FLOP AIM: Write a VHDL code for IC7474 a positive edge triggering D flip flop. TITLE: IC7474 a positive edge triggering D flip flop. CIRCUIT DIAGRAM: TRUTH TABLE: clr_l pr_l Clk d q qn X X X X X X Dept. of ECE, PVPSIT. 4

17 VHDL CODE: --VHDL code for the circuit library IEEE; use ieee.std_logic_64.all; entity dff is port ( pr_l: in STD_LOGIC; -- active low preset input clr_l:in STD_LOGIC; -- active low clear input clk :in STD_LOGIC; -- clock input d :in STD_LOGIC; -- D input q :inout STD_LOGIC; -- output of D flip flop end dff; architecture dff of dff is signal e,f,g,h:std_logic; component nand3 port ( qn :inout STD_LOGIC -- inverted output a,b,c: in STD_LOGIC; d : out STD_LOGIC end component; g:nand3 port map(pr_l,h,f,e -- creates g gate g2:nand3 port map(clr_l,e,clk,f -- creates g2 gate g3:nand3 port map(f,clk,h,g -- creates g3 gate g4:nand3 port map(g,clr_l,d,h -- creates g4 gate g5:nand3 port map(pr_l,f,qn,q -- creates g5 gate g6:nand3 port map(q,g,clr_l,qn -- creates g6 gate end dff; --VHDL code for 3 i/p nand gate library IEEE; use IEEE.std_logic_64.all; entity nand3 is port ( a,b,c: in STD_LOGIC; d : out STD_LOGIC end nand3; Dept. of ECE, PVPSIT. 5

18 architecture \nand\ of nand3 is d<= not (a and b and c -- creates a 3 i/p nand gate end \nand\; WAVEFORMS: D FLIPFLOP NAND GATE Dept. of ECE, PVPSIT. 6

19 VIVA QUESTIONS:. Write the behavioral code for the IC 74x Write the dataflow code for the IC 74x What is the difference between sequential and combinational circuit? 4. What is a flip-flop? 5. Explain the functions of preset and clear inputs in flip-flop? 6. What is meant by a clocked flip-flop? 7. What is meant by excitation table? 8. What is the difference between flip-flop and latch? 9. What are the various methods used for triggering flip-flops?. Explain level triggered flip-flop?. Write the behavioral code for IC 74X Write the syntax of IF statement? Dept. of ECE, PVPSIT. 7

20 Dept. of ECE, PVPSIT. 8 3.IC 74x9 DECADE COUNTER AIM:To write the VHDL code for IC 74x9 decade counter. CIRCUIT DIAGRAM OF IC 74x9: TRUTH TABLE: OUTPUT Q() Q(3) Q(2) Q()

21 VHDL CODE: --To work as a decade counter library IEEE; Use IEEE.std_logic_64.all; entity count is port ( S, s, r, r: in STD_LOGIC; --set and reset i/ps for mod2 and -- Mod5 counters Clk: in STD_LOGIC; --Clock signal for mod2 counter Clk: inout STD_LOGIC; --Clock signal for mod5 counter end count; q : inout STD_LOGIC_VECTOR(3 downto ) --o/p of -- mod2 X mod5= mod architecture count of count is component jk_ff -- jk flip flop instantiation port ( jk : in STD_LOGIC_VECTOR( downto clk,pr_l,clr_l : in STD_LOGIC; q,nq : inout STD_LOGIC end component; signal preset,clear,s, q3bar:std_logic; preset <= s nand s; clear <=r nand r; S<=q(2) and q( q3bar <= not q(3 clk<=q( -- common preset inputs for mod2 and mod5 counters -- common reset inputs for mod2 and mod5 counters -- to set the last flip flop -- complemented output of q(3) --to work as asynchronous mod counter jk:jk_ff port map("",clk,preset,clear,q(),open jk2:jk_ff port map(jk()=> q3bar, jk()=>'', clk=>clk, pr_l=>preset, Dept. of ECE, PVPSIT. 9

22 clr_l=>clear, q=>q(), nq=>open -- jk.jk2,jk3,jk4 create four JK flip flops jk3:jk_ff port map("",q(),preset,clear,q(2),open jk4:jk_ff port map(jk()=>q(3), jk()=>s, clk=>clk, pr_l=>preset, clr_l=>clear, q=>q(3), nq=> q3bar end count; WAVEFORMS: Dept. of ECE, PVPSIT. 2

23 --Program for JK flip-flop library IEEE; use IEEE.std_logic_64.all; entity jk_ff is port ( jk : in STD_LOGIC_VECTOR( downto --jk()=j;jk()=k; clk,pr_l,clr_l : in STD_LOGIC; q,nq end jk_ff; : inout STD_LOGIC architecture jk of jk_ff is process(clk,pr_l,clr_l,jk) variable temp:std_logic:=''; q<='';nq<=''; if (pr_l='' and clr_l='') then q<='';nq<=''; elsif (pr_l='' and clr_l ='') then q<='';nq<=''; elsif (pr_l='' and clr_l='') then if (clk 'event and clk='') then --performs during the falling edge of clock end jk; case jk is when ""=>temp:=temp; when ""=>temp:=''; when ""=>temp:=''; when ""=>temp:=not temp; when others=>null; end case; end if; q<=temp; nq<= not temp; end if; end process; Dept. of ECE, PVPSIT. 2

24 WAVEFORMS: VIVA QUESTIONS:.Write the behavioral code for IC 74x9..What is a sequential circuit? 2.Differentiate between synchronous and asynchronous counter? 3.How many no.of flip-flops are required for decade counter? 4.What is meant by excitation table? 5.What are the meanings of different types of values in std_ulogic? 6.What are the objects in VHDL? 7.Write the syntax for a signal? 8.Write the difference between signal and variable? 9.Explain about enumeration types?.if the modulus of a counter is 2 how many flip-flops are required? Dept. of ECE, PVPSIT. 22

25 Dept. of ECE, PVPSIT IC 74x93 4 -BIT BINARY COUNTER AIM:To write the VHDL code for IC 74x93 4 -bit binary counter. TRUTH TABLE: OUTPUT Q(3) Q(2) Q() Q() CIRCUIT DIAGRAM OF IC74X93:

26 VHDL CODE: --Program for 4-bit counter library IEEE; use IEEE.std_logic_64.all; entity cnt is port ( clk: in STD_LOGIC; mr: in STD_LOGIC; mr: in STD_LOGIC; clk: inout STD_LOGIC; Q:inout STD_LOGIC_VECTOR(3 downto ) end cnt; architecture cnt of cnt is Component tff -- T- flip flop instantiation port ( t : in STD_LOGIC; clk : in STD_LOGIC; clr_l : in STD_LOGIC; q,nq : out STD_LOGIC end component; signal clear : std_logic; clear<= mr nand mr; -- common reset inputs for mod2 and mod8 --counters CLK<=q( --to work as asynchronous mod6 counter t:tff port map('',clk,clear,q(),open--t,t2,t3,t4 create four T-flip flops t2:tff port map('',clk,clear,q(), open t3:tff port map('',q(),clear,q(2), open t4:tff port map('',q(2),clear,q(3), open end cnt; Dept. of ECE, PVPSIT. 24

27 WAVEFORMS: --Program for T flip-flop library IEEE; use IEEE.std_logic_64.all; entity tff is port ( t : in STD_LOGIC;--input to the T-flip flop clk : in STD_LOGIC;--Clock signal for T-flip flop clr_l : in STD_LOGIC;--active low clear input q,nq : out STD_LOGIC--actual and complemented outputs of T-flip flop end tff; architecture tff of tff is process(t,clk,clr_l) variable temp:std_logic:=''; if (clr_l='') then temp:=''; Dept. of ECE, PVPSIT. 25

28 elsif ((clr_l='') and (clk'event and clk='')) then--perfoms during falling edge if ( t='') then temp:=temp; else temp:= not temp; end if; end if; q<= temp; nq<= not temp; end process; end tff; WAVEFORMS: VIVA QUESTIONS:. Write the behavioral code for IC 74x What is the difference between decade counter and 4 bit counter? 3. What is meant by a modulus of a counter? 4. Write the behavioral code for IC74X93? 5. Explain the operation of IC74X93? 6. Write the syntax for component instantiation? 7. What is netlist? 8. Briefly explain about generics? 9. Write the difference between sequential statement and concurrent statement?. Write the syntax for loop statements?. Write the syntax for generate statements? 2. Write the differences between loop and generate? Dept. of ECE, PVPSIT. 26

29 5.IC 74x95 SHIFT REGISTER AIM:To write the structural program for IC 74x95 SHIFT REGISTER. TRUTH TABLE: mode control clock clk function Serial operation q(2) to q(3), q() to q(2), q() to q(), si to q() clk Parallel operation A to q() B to q() C to q(2) D to q(3) CIRCUIT DIAGRAM OF IC 74X95: Dept. of ECE, PVPSIT. 27

30 VHDL CODE: --Structural model --Program for shift register library IEEE; use IEEE.std_logic_64.all; entity shift_reg is port ( a,b,c,d: in STD_LOGIC; --four parallel inputs si : in STD_LOGIC; --one serial input m : in STD_LOGIC; --mode control clk :in STD_LOGIC; --clock for serial input clk :in STD_LOGIC; --clock for parallel input end shift_reg; q architecture shift_reg of shift_reg is component mux -- multiplexer instantiation port ( :inout STD_LOGIC_VECTOR (3 downto )--4-bit output a,b,c,d: in STD_LOGIC; z : out STD_LOGIC end component ; component dff -- D- flip flop instantiation port ( d,clk: in STD_LOGIC; q : out STD_LOGIC end component; signal nm,c,do,d,d2,d3:std_logic; nm<= not m; g:mux port map(clk,nm,clk,m,c --to select the clock based on mode -- control g2:mux port map(si,nm,a,m,do --g2,g3,g4,g5 are used to select g3:mux port map(q(),nm,b,m,d --either serial input or parallel input g4:mux port map(q(),nm,c,m,d2 --based on mode control g5:mux port map(q(2),nm,d,m,d3 d:dff port map(do,c,q() --d,d2,d3,d4 creates four D flip flops d2:dff port map(d,c,q() --to perform either serial or parallel shift d3:dff port map(d2,c,q(2) -- operations d4:dff port map(d3,c,q(3) end shift_reg; Dept. of ECE, PVPSIT. 28

31 WAVEFORMS: --program for D-flip-flop library IEEE; use IEEE.std_logic_64.all; IC 74x94 UNIVERSAL SHIFT REGISTER entity dff is port ( end dff; d,clk: in STD_LOGIC; q : out STD_LOGIC architecture dff of dff is process(clk) if( clk'event and clk='') then q<=d; else null; end if; end process; end dff; --performs during falling edge Dept. of ECE, PVPSIT. 29

32 WAVEFORMS: --Program for multiplexer library ieee; use ieee.std_logic_64.all; entity mux is port ( end mux; a,b,c,d: in STD_LOGIC; z : out STD_LOGIC architecture mux of mux is z<=((a and b) or (c and d) end mux; WAVEFORMS: Dept. of ECE, PVPSIT. 3

33 VIVA QUESTIONS:. Write the behavioral code for IC 74x What is a shift register? 3. Write some applications of shift register? 4. Explain briefly about BLOCK? 5. Write the syntax for function? 6. Write the syntax for procedure? 7. How to define variable in VHDL? 8. Write the syntax for CASE statement? 9. What is the advantage of case statement over if-else statement?. Write the difference between with-select and when-else statement? Dept. of ECE, PVPSIT. 3

34 6.IC 74x94 UNIVERSAL SHIFT REGISTER AIM: To write the VHDL code for IC 74x94 universal shift register. BLOCK DIAGRAM: TRUTH TABLE: Clr_l S() S() Clk Output function X X X no change shift right ( dsr to q()) shift left ( dsl to q(3)) load data (parallel shifting) Dept. of ECE, PVPSIT. 32

35 VHDL code: library IEEE; use IEEE.std_logic_64.all; entity shift94 is port ( clk : in STD_LOGIC;--Clock signal dsr,dsl : in STD_LOGIC;--serial input for right shift and left shift --operation clr_l : in STD_LOGIC;--active low clear input S:in STD_LOGIC_VECTOR( downto --mode control bits d: in STD_LOGIC_VECTOR (3 downto --four parallel input bits q: inout STD_LOGIC_VECTOR (3 downto ) --4-bit output end shift94; architecture shift94 of shift94 is process(clk,s,clr_l) if clr_l='' then q<=(others=>'' elsif clr_l='' then if(clk'event and clk='') then case s is when"" =>q<=q;--no change when""=>q<=q(2 downto ) & dsr;--shift right(dsr to q()) when"" =>q<=dsl & q(3 downto --shift left(dsl to q(3)) when"" =>q<=d(3) & d(2) & d() & d(--parallel operation --d(3) to q(3),d(2) to q(2),d() to q(),d() to q() when others=>null; end case; end if; end if; end process; end shift94; Dept. of ECE, PVPSIT. 33

36 WAVEFORMS: Dept. of ECE, PVPSIT. 34

37 7.3x8 DECODER AIM: Write a VHDL code for IC7438-3X8 Decoder TITLE: IC7438 3x8 Decoder. BLOCK DIAGRAM: TRUTH TABLE: S.No Enable inputs g g2a_l g2b_l Encoded inputs A B C Decoded output X X X X X 2 X X X X 3 X X X X Dept. of ECE, PVPSIT. 35

38 VHDL CODE: library IEEE; use IEEE.std_logic_64.all; entity decoder3x8 is port ( g : in STD_LOGIC;--g, g2a_l, g2b_l cascade i/ps g2a_l : in STD_LOGIC; g2b_l : in STD_LOGIC; a : in STD_LOGIC_VECTOR (2 downto y_l : out STD_LOGIC_VECTOR ( to 7) end decoder3x8; architecture deco38 of decoder3x8 is process (a,g,g2a_l,g2b_l) if (g and not g2a_l and not g2b_l)=''then if a <= ""then y_l<= ""; elsif a <= ""then y_l <= ""; elsif a <= ""then y_l<= ""; elsif a <= ""then y_l <= ""; elsif a <= ""then y_l <= ""; elsif a <= ""then y_l <= ""; elsif a <= ""then y_l <= ""; elsif a <= ""then y_l <= ""; else y_ l<= ""; end if; else y_l <= ""; end if; end process; end deco38; Dept. of ECE, PVPSIT. 36

39 WAVEFORMS: VIVA QUESTIONS :. Write the behavioral code for the IC 74x Write the VHDL code for the IC 74x38 using CASE statement. 3. Write the VHDL code for the IC 74x38 using WITH statement. 4. Write the VHDL code for the IC 74x38 using WHEN--ELSE statement. 5. Write the structural program for IC 74x What does priority encoder mean? 7. How many decoders are needed to construct 4X6 decoder? 8. What is the difference between decoder and encoder? 9. Write the syntax for exit statement?. Explain briefly about next statement?. How to specify the delay in VHDL program? 2. Write the syntax for component declaration. Dept. of ECE, PVPSIT. 37

40 8.IC 74x85 4-BIT COMPARATOR AIM: Write a VHDL code for IC 74x85 4-bit comparator. BLOCK DIAGRAM: TRUTH TABLE: S.No. Cascade inputs Present input condition A>B A=B A<B AGTBOUT AEQBOUT ALTBOUT AGTBIN= X X X 2 AEQBIN= 5 ALTBIN= X X X VHDL CODE: library IEEE; use IEEE.std_logic_64.all; entity comp is port ( altbin: in STD_LOGIC; aeqbin: in STD_LOGIC; agtbin: in STD_LOGIC; a: in STD_LOGIC_VECTOR (3 downto b: in STD_LOGIC_VECTOR (3 downto agtbout: out STD_LOGIC; aeqbout: out STD_LOGIC; altbout: out STD_LOGIC Dept. of ECE, PVPSIT. 38

41 end comp; architecture comp of comp is process(a,b,agtbin,aeqbin,altbin) agtbout<=''; --initializes the outputs to aeqbout<=''; altbout<=''; if aeqbin='' then if a=b then aeqbout<=''; elsif a>b then agtbout<=''; elsif (a<b) then altbout<=''; end if; elsif (altbin/=agtbin)then agtbout<=agtbin; altbout<=altbin; end if; end process ; end Comp; WAVEFORMS: Dept. of ECE, PVPSIT. 39

42 VIVA QUESTIONS:. Write the dataflow model for the IC 74x Write the VHDL code for the IC 74x85 using CASE statement. 3. Write the VHDL code for the IC 74x85 using WITH statement. 4. Write the VHDL code for the IC 74x85 using WHEN--ELSE statement. 5. Write the structural program for IC 74x How many 4-bit comparators are needed to construct 2-bit comparator? 7. What does a digital comparator mean? 8. Design a 2-bit comparator using gates? 9. Explain the phases of a simulation?. Explain briefly about wait statement? Dept. of ECE, PVPSIT. 4

43 9.8x MULTIPLEXER AIM: Write a VHDL code for IC745 8x multiplexer. TITLE: IC745 8x multiplexer. BLOCK DIAGRAM: TRUTH TABLE: S.No en_l Data select lines Output A B C Y I() 2 I() 3 I(2) 4 I(3) 5 I(4) 6 I(5) 7 I(6) 8 I(7) 9 X X X Dept. of ECE, PVPSIT. 4

44 VHDL CODE: library IEEE; use IEEE.std_logic_64.all; entity mux5 is port ( I :in STD_LOGIC_VECTOR (7 downto --8 i/p lines S :in STD_LOGIC_VECTOR (2 downto --3 data select lines en_l:in STD_LOGIC; --active low enable i/p end mux5; y :out STD_LOGIC architecture mux5 of mux5 is process (I,s,en_l) if en_l='' then case s is when "" => y <= I( when "" => y <= I( when "" => y <= I(2 when "" => y <= I(3 when "" => y <= I(4 when "" => y <= I(5 when "" => y <= I(6 when "" => y <= I(7 when others=>null; end case; end if; end process; end mux5; else y <= ''; --y= when en_l= --output line Dept. of ECE, PVPSIT. 42

45 WAVEFORMS: VIVA QUESTIONS :. Write the behavioral code for the IC 74x5. 2. Write the VHDL code for the IC 74x5 using IF statement. 3. Write the VHDL code for the IC 74x5 using WITH statement. 4. Write the VHDL code for the IC 74x5 using WHEN--ELSE statement. 5. Write the structural program for IC 74x5. 6. What is meant by multiplexer? 7. What does demultiplexer mean? 8. How many 8X multiplexers are needed to construct 6X multiplexer? 9. Compare decoder with demultiplexer?. Design a full adder using 8X multiplexer?. What are the two kinds of subprograms? 2. What are the difference between function and procedure? 3. Explain briefly about subprogram overloading? Dept. of ECE, PVPSIT. 43

46 .6X MULTIPLEXER AIM: Write a VHDL code for IC745 6x multiplexer. TITLE: IC745 6x multiplexer. BLOCK DIAGRAM: TRUTH TABLE: S.No. Data select lines output strobe A B C D Y d () 2 d () 3 d (2) 4 d (3) 5 d (4) 6 d (5) 7 d (6) 8 d (7) 9 d (8) d (9) d () 2 d () 3 d (2) 4 d (3) 5 d (4) 6 d (5) 7 X X X X Dept. of ECE, PVPSIT. 44

47 VHDL CODE: library IEEE; use IEEE.std_logic_64.all; entity mux6 is port( end mux6; strobe : in STD_LOGIC; --active low enable i/p D : in STD_LOGIC_VECTOR(5 downto --6 i/p lines Sel : in STD_LOGIC_VECTOR(3 downto --4 data select lines Y : out STD_LOGIC --output line architecture mux6 of mux6 is signal Y_L:std_logic; with Sel select Y_L <= D() when "", D() when "", D(2) when "", D(3) when "", D(4) when "", D(5) when "", D(6) when "", D(7) when "", D(8) when "", D(9) when "", D() when "", D() when "", D(2) when "", D(3) when "", D(4) when "", D(5) when "", unaffected when others; Y<= not Y_L when (strobe='') else ''; end mux6; Dept. of ECE, PVPSIT. 45

48 WAVEFORMS: Dept. of ECE, PVPSIT. 46

49 VIVA QUESTIONS:. Write the behavioral code for the IC 74x5. 2. Write the VHDL code for the IC 74x5 using IF statement. 3. Write the VHDL code for the IC 74x5 using CASE statement. 4. Write the VHDL code for the IC 74x5 using WHEN--ELSE statement. 5. Write the structural program for IC 74x5. 6. Implement 6X multiplexer using structural model? 7. Write the applications of multiplexer and demultiplexer? 8. Design 32X multiplexer using 6X multiplexer? 9. Explain briefly about operator overloading?. Explain the execution steps of subprogram?. Write the syntax of package declaration? 2. Write the syntax of package body? Dept. of ECE, PVPSIT. 47

50 .IC 74X89 READ AND WRITE OPERATIONS OF RAM AIM: To write the VHDL code for IC 74X89 read and write operations of RAM. BLOCK DIAGRAM: TRUTH TABLE: en_l rw operation Write X Read the complemented data Inhibit VHDL code: library IEEE; use IEEE.std_logic_64.all; entity ram is port ( rw : in STD_LOGIC;--read or write enable pin en_l: in STD_LOGIC; --active low enable pin datain: in STD_LOGIC_VECTOR (3 downto --4-bit input data line addr: in STD_LOGIC_VECTOR (3 downto --4-bit address line dataout: out STD_LOGIC_VECTOR (3 downto ) --4-bit input data line end ram; Dept. of ECE, PVPSIT. 48

51 architecture ram of ram is subtype wtype is STD_LOGIC_VECTOR (3 downto type mem_type is array (5 downto ) of wtype; signal memory:mem_type; ;--creates 6 memory locations.each location can store --4-bits function conv_integer(x:std_logic_vector) return integer is --function to convert variable result:integer; --binary to integer result:=; for i in x'range loop if x(i)= then result:= result+2**i; else null; end if; end loop; return result; end conv_integer; process(en_l,rw,addr) if(en_l='') then if (rw ='') then --performs write operation memory(conv_integer(addr))<= datain;--stores the data in the dataout<="zzzz"; -- corresponding memory elsif (rw ='') then -- the output performs read operation dataout<=not memory(conv_integer(addr)--places the data on end if; -- the given address line else dataout<=(others=>'z' --output is in inhibit state when en_l= (i.e.hi- -- impedence) end if; end process; end ram; Dept. of ECE, PVPSIT. 49

52 WAVEFORMS: Dept. of ECE, PVPSIT. 5

53 VIVA QUESTIONS:. Write the behavioral code for IC 74x89 without declaring the function. 2. Explain about different types of RAMs? 3. How to specify the memory size? 4. Explain read and write operations? 5. What are the differences between RAM and RAM? 6. Explain the steps of a compilation process of a VHDL program? 7. Explain the types of design units? 8. Why configurations are needed? 9. What is binding?. What is subprogram in vhdl Dept. of ECE, PVPSIT. 5

DIGITAL SYSTEM DESIGN & DICA LABORATORY OBSERVATION

DIGITAL SYSTEM DESIGN & DICA LABORATORY OBSERVATION LENDI INSTITUTE OF ENGINEERING AND TECHNOLOGY (Approved by A.I.C.T.E & Affiliated to JNTU, Kakinada) Jonnada (Village), Denkada (Mandal), Vizianagaram Dist 535005 Phone No. 08922-241111, 241112 E-Mail:

More information

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI)

SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) SRI SUKHMANI INSTITUTE OF ENGINEERING AND TECHNOLOGY, DERA BASSI (MOHALI) VLSI LAB MANUAL ECE DEPARTMENT Introduction to VHDL It is a hardware description language that can be used to model a digital system

More information

ELCT 501: Digital System Design

ELCT 501: Digital System Design ELCT 501: Digital System Lecture 4: CAD tools (Continued) Dr. Mohamed Abd El Ghany, Basic VHDL Concept Via an Example Problem: write VHDL code for 1-bit adder 4-bit adder 2 1-bit adder Inputs: A (1 bit)

More information

Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING. Fifth Semester. Subject: VHDL Programming

Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING. Fifth Semester. Subject: VHDL Programming Department of Technical Education DIPLOMA COURSE IN ELECTRONICS AND COMMUNICATION ENGINEERING Fifth Semester Subject: VHDL Programming Contact Hours/Week : 04 Contact Hours/Semester : 64 CONTENTS No. Of

More information

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language)

Lecture 7. Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits. Hardware Description Language) Standard ICs FPGA (Field Programmable Gate Array) VHDL (Very-high-speed integrated circuits Hardware Description Language) 1 Standard ICs PLD: Programmable Logic Device CPLD: Complex PLD FPGA: Field Programmable

More information

VHDL Examples Mohamed Zaky

VHDL Examples Mohamed Zaky VHDL Examples By Mohamed Zaky (mz_rasmy@yahoo.co.uk) 1 Half Adder The Half Adder simply adds 2 input bits, to produce a sum & carry output. Here we want to add A + B to produce Sum (S) and carry (C). A

More information

Sequential Logic - Module 5

Sequential Logic - Module 5 Sequential Logic Module 5 Jim Duckworth, WPI 1 Latches and Flip-Flops Implemented by using signals in IF statements that are not completely specified Necessary latches or registers are inferred by the

More information

Sequential Statement

Sequential Statement Sequential Statement Sequential Logic Output depends not only on current input values but also on previous input values. Are building blocks of; Counters Shift registers Memories Flip flops are basic sequential

More information

IT T35 Digital system desigm y - ii /s - iii

IT T35 Digital system desigm y - ii /s - iii UNIT - V Introduction to Verilog Hardware Description Language Introduction HDL for combinational circuits Sequential circuits Registers and counters HDL description for binary multiplier. 5.1 INTRODUCTION

More information

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1

ACS College of Engineering. Department of Biomedical Engineering. Logic Design Lab pre lab questions ( ) Cycle-1 ACS College of Engineering Department of Biomedical Engineering Logic Design Lab pre lab questions (2015-2016) Cycle-1 1. What is a combinational circuit? 2. What are the various methods of simplifying

More information

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL

DESCRIPTION OF DIGITAL CIRCUITS USING VHDL DESCRIPTION OF DIGITAL CIRCUITS USING VHDL Combinatinal circuits Sequential circuits Design organization. Generic design Iterative operations Authors: Luis Entrena Arrontes, Celia López, Mario García,

More information

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\

CSCI Lab 3. VHDL Syntax. Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\ CSCI 250 - Lab 3 VHDL Syntax Due: Tuesday, week6 Submit to: \\fs2\csci250\lab-3\ Objectives 1. Learn VHDL Valid Names 2. Learn the presentation of Assignment and Comments 3. Learn Modes, Types, Array,

More information

Hardware Description Language VHDL (1) Introduction

Hardware Description Language VHDL (1) Introduction Hardware Description Language VHDL (1) Introduction Digital Radiation Measurement and Spectroscopy NE/RHP 537 Introduction Hardware description language (HDL) Intended to describe circuits textually, for

More information

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system.

Principles of Digital Techniques PDT (17320) Assignment No State advantages of digital system over analog system. Assignment No. 1 1. State advantages of digital system over analog system. 2. Convert following numbers a. (138.56) 10 = (?) 2 = (?) 8 = (?) 16 b. (1110011.011) 2 = (?) 10 = (?) 8 = (?) 16 c. (3004.06)

More information

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University

Abi Farsoni, Department of Nuclear Engineering and Radiation Health Physics, Oregon State University Hardware description language (HDL) Intended to describe circuits textually, for a computer to read Evolved starting in the 1970s and 1980s Popular languages today include: VHDL Defined in 1980s by U.S.

More information

VHDL 2 Combinational Logic Circuits. Reference: Roth/John Text: Chapter 2

VHDL 2 Combinational Logic Circuits. Reference: Roth/John Text: Chapter 2 VHDL 2 Combinational Logic Circuits Reference: Roth/John Text: Chapter 2 Combinational logic -- Behavior can be specified as concurrent signal assignments -- These model concurrent operation of hardware

More information

[VARIABLE declaration] BEGIN. sequential statements

[VARIABLE declaration] BEGIN. sequential statements PROCESS statement (contains sequential statements) Simple signal assignment statement

More information

VHDL And Synthesis Review

VHDL And Synthesis Review VHDL And Synthesis Review VHDL In Detail Things that we will look at: Port and Types Arithmetic Operators Design styles for Synthesis VHDL Ports Four Different Types of Ports in: signal values are read-only

More information

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit

VHDL. Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL VHDL Official Definition: VHSIC Hardware Description Language VHISC Very High Speed Integrated Circuit VHDL Alternative (Student Generated) Definition Very Hard Digital Logic language VHDL Design

More information

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits

Part 4: VHDL for sequential circuits. Introduction to Modeling and Verification of Digital Systems. Memory elements. Sequential circuits M1 Informatique / MOSIG Introduction to Modeling and erification of Digital Systems Part 4: HDL for sequential circuits Laurence PIERRE http://users-tima.imag.fr/amfors/lpierre/m1arc 2017/2018 81 Sequential

More information

Introduction to VHDL #3

Introduction to VHDL #3 ECE 322 Digital Design with VHDL Introduction to VHDL #3 Lecture 7 & 8 VHDL Modeling Styles VHDL Modeling Styles Dataflow Concurrent statements Structural Components and interconnects Behavioral (sequential)

More information

Lattice VHDL Training

Lattice VHDL Training Lattice Part I February 2000 1 VHDL Basic Modeling Structure February 2000 2 VHDL Design Description VHDL language describes a digital system as a set of modular blocks. Each modular block is described

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Review of Combinatorial Circuit Building Blocks: VHDL for Combinational Circuits Dr. D. J. Jackson Lecture 2-1 Introduction to VHDL Designer writes a logic circuit description in

More information

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems

Lecture 4: Modeling in VHDL (Continued ) EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 4: Modeling in VHDL (Continued ) Sequential Statements Use Process process (sensitivity list) variable/constant declarations Sequential Statements end process; 2 Sequential

More information

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden

Synthesis from VHDL. Krzysztof Kuchcinski Department of Computer Science Lund Institute of Technology Sweden Synthesis from VHDL Krzysztof Kuchcinski Krzysztof.Kuchcinski@cs.lth.se Department of Computer Science Lund Institute of Technology Sweden March 23, 2006 Kris Kuchcinski (LTH) Synthesis from VHDL March

More information

Basic Language Concepts

Basic Language Concepts Basic Language Concepts Sudhakar Yalamanchili, Georgia Institute of Technology ECE 4170 (1) Describing Design Entities a sum b carry Primary programming abstraction is a design entity Register, logic block,

More information

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment

HANSABA COLLEGE OF ENGINEERING & TECHNOLOGY (098) SUBJECT: DIGITAL ELECTRONICS ( ) Assignment Assignment 1. What is multiplexer? With logic circuit and function table explain the working of 4 to 1 line multiplexer. 2. Implement following Boolean function using 8: 1 multiplexer. F(A,B,C,D) = (2,3,5,7,8,9,12,13,14,15)

More information

VHDL: RTL Synthesis Basics. 1 of 59

VHDL: RTL Synthesis Basics. 1 of 59 VHDL: RTL Synthesis Basics 1 of 59 Goals To learn the basics of RTL synthesis. To be able to synthesize a digital system, given its VHDL model. To be able to relate VHDL code to its synthesized output.

More information

Hours / 100 Marks Seat No.

Hours / 100 Marks Seat No. 17333 13141 3 Hours / 100 Seat No. Instructions (1) All Questions are Compulsory. (2) Answer each next main Question on a new page. (3) Illustrate your answers with neat sketches wherever necessary. (4)

More information

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14

COE 405, Term 062. Design & Modeling of Digital Systems. HW# 1 Solution. Due date: Wednesday, March. 14 COE 405, Term 062 Design & Modeling of Digital Systems HW# 1 Solution Due date: Wednesday, March. 14 Q.1. Consider the 4-bit carry-look-ahead adder (CLA) block shown below: A 3 -A 0 B 3 -B 0 C 3 4-bit

More information

R10. II B. Tech I Semester, Supplementary Examinations, May

R10. II B. Tech I Semester, Supplementary Examinations, May SET - 1 1. a) Convert the following decimal numbers into an equivalent binary numbers. i) 53.625 ii) 4097.188 iii) 167 iv) 0.4475 b) Add the following numbers using 2 s complement method. i) -48 and +31

More information

N-input EX-NOR gate. N-output inverter. N-input NOR gate

N-input EX-NOR gate. N-output inverter. N-input NOR gate Hardware Description Language HDL Introduction HDL is a hardware description language used to design and document electronic systems. HDL allows designers to design at various levels of abstraction. It

More information

Lecture 12 VHDL Synthesis

Lecture 12 VHDL Synthesis CPE 487: Digital System Design Spring 2018 Lecture 12 VHDL Synthesis Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology Hoboken, NJ 07030 1 What is Synthesis?

More information

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University

ECE 545 Lecture 8. Data Flow Description of Combinational-Circuit Building Blocks. George Mason University ECE 545 Lecture 8 Data Flow Description of Combinational-Circuit Building Blocks George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 7, Combinational Circuit Design:

More information

VHDL for FPGA Design. by : Mohamed Samy

VHDL for FPGA Design. by : Mohamed Samy VHDL for FPGA Design by : Mohamed Samy VHDL Vhdl is Case insensitive myvar = myvar = MYVAR IF = if = if Comments start with -- Comments can exist anywhere in the line Semi colon indicates the end of statements

More information

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS

VALLIAMMAI ENGINEERING COLLEGE. SRM Nagar, Kattankulathur DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS VALLIAMMAI ENGINEERING COLLEGE SRM Nagar, Kattankulathur-603 203 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC6302 DIGITAL ELECTRONICS YEAR / SEMESTER: II / III ACADEMIC YEAR: 2015-2016 (ODD

More information

Hardware Modeling. VHDL Syntax. Vienna University of Technology Department of Computer Engineering ECS Group

Hardware Modeling. VHDL Syntax. Vienna University of Technology Department of Computer Engineering ECS Group Hardware Modeling VHDL Syntax Vienna University of Technology Department of Computer Engineering ECS Group Contents Identifiers Types & Attributes Operators Sequential Statements Subroutines 2 Identifiers

More information

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN

B.Tech II Year I Semester (R13) Regular Examinations December 2014 DIGITAL LOGIC DESIGN B.Tech II Year I Semester () Regular Examinations December 2014 (Common to IT and CSE) (a) If 1010 2 + 10 2 = X 10, then X is ----- Write the first 9 decimal digits in base 3. (c) What is meant by don

More information

DIGITAL SYSTEM DESIGN

DIGITAL SYSTEM DESIGN DIGITAL SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING Digital System Design 1 Name: Registration No: Roll No: Semester:

More information

JUNE, JULY 2013 Fundamentals of HDL (10EC45) PART A

JUNE, JULY 2013 Fundamentals of HDL (10EC45) PART A JUNE, JULY 2013 Fundamentals of HDL (10EC45) Time: 3hrs Max Marks:100 Note: Answer FIVE full questions, selecting at least TWO questions from each part. PART A Q1.a. Describe VHDL scalar data types with

More information

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 3, RT-level

More information

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles

ECE 448 Lecture 4. Sequential-Circuit Building Blocks. Mixing Description Styles ECE 448 Lecture 4 Sequential-Circuit Building Blocks Mixing Description Styles George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 4, Regular Sequential Circuit Recommended

More information

Logic and Computer Design Fundamentals VHDL. Part 1 Chapter 4 Basics and Constructs

Logic and Computer Design Fundamentals VHDL. Part 1 Chapter 4 Basics and Constructs Logic and Computer Design Fundamentals VHDL Part Chapter 4 Basics and Constructs Charles Kime & Thomas Kaminski 24 Pearson Education, Inc. Terms of Use (Hyperlinks are active in View Show mode) Overview

More information

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic

ECE 448 Lecture 3. Combinational-Circuit Building Blocks. Data Flow Modeling of Combinational Logic ECE 448 Lecture 3 Combinational-Circuit Building Blocks Data Flow Modeling of Combinational Logic George Mason University Reading Required P. Chu, FPGA Prototyping by VHDL Examples Chapter 3, RT-level

More information

Computer-Aided Digital System Design VHDL

Computer-Aided Digital System Design VHDL بس م اهلل الر حم ن الر حی م Iran University of Science and Technology Department of Computer Engineering Computer-Aided Digital System Design VHDL Ramin Rajaei ramin_rajaei@ee.sharif.edu Modeling Styles

More information

Very High Speed Integrated Circuit Har dware Description Language

Very High Speed Integrated Circuit Har dware Description Language Very High Speed Integrated Circuit Har dware Description Language Industry standard language to describe hardware Originated from work in 70 s & 80 s by the U.S. Departm ent of Defence Root : ADA Language

More information

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0

3. The high voltage level of a digital signal in positive logic is : a) 1 b) 0 c) either 1 or 0 1. The number of level in a digital signal is: a) one b) two c) four d) ten 2. A pure sine wave is : a) a digital signal b) analog signal c) can be digital or analog signal d) neither digital nor analog

More information

Review of Digital Design with VHDL

Review of Digital Design with VHDL Review of Digital Design with VHDL Digital World Digital world is a world of 0 and 1 Each binary digit is called a bit Eight consecutive bits are called a byte Hexadecimal (base 16) representation for

More information

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points)

COVER SHEET: Total: Regrade Info: 5 (5 points) 2 (8 points) 6 (10 points) 7b (13 points) 7c (13 points) 7d (13 points) EEL 4712 Midterm 2 Spring 2011 VERSION 1 Name: UFID: Sign your name here if you would like for your test to be returned in class: IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read

More information

Timing in synchronous systems

Timing in synchronous systems BO 1 esign of sequential logic Outline Timing in synchronous networks Synchronous processes in VHL VHL-code that introduces latches andf flip-flops Initialization of registers Mealy- and Moore machines

More information

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory

CS211 Digital Systems/Lab. Introduction to VHDL. Hyotaek Shim, Computer Architecture Laboratory CS211 Digital Systems/Lab Introduction to VHDL Hyotaek Shim, Computer Architecture Laboratory Programmable Logic Device (PLD) 2/32 An electronic component used to build reconfigurable digital circuits

More information

EEL 4712 Digital Design Test 1 Spring Semester 2007

EEL 4712 Digital Design Test 1 Spring Semester 2007 IMPORTANT: Please be neat and write (or draw) carefully. If we cannot read it with a reasonable effort, it is assumed wrong. COVER SHEET: Problem: Points: 1 (15 pts) 2 (20 pts) Total 3 (15 pts) 4 (18 pts)

More information

Introduction to VHDL. Yvonne Avilés Colaboration: Irvin Ortiz Flores Rapid System Prototyping Laboratory (RASP) University of Puerto Rico at Mayaguez

Introduction to VHDL. Yvonne Avilés Colaboration: Irvin Ortiz Flores Rapid System Prototyping Laboratory (RASP) University of Puerto Rico at Mayaguez Introduction to VHDL Yvonne Avilés Colaboration: Irvin Ortiz Flores Rapid System Prototyping Laboratory (RASP) University of Puerto Rico at Mayaguez What is VHDL? Very High Speed Integrated Circuit Hardware

More information

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F

LABORATORY MANUAL VLSI DESIGN LAB EE-330-F LABORATORY MANUAL VLSI DESIGN LAB EE-330-F (VI th Semester) Prepared By: Vikrant Verma B. Tech. (ECE), M. Tech. (ECE) Department of Electrical & Electronics Engineering BRCM College of Engineering & Technology

More information

END-TERM EXAMINATION

END-TERM EXAMINATION (Please Write your Exam Roll No. immediately) END-TERM EXAMINATION DECEMBER 2006 Exam. Roll No... Exam Series code: 100919DEC06200963 Paper Code: MCA-103 Subject: Digital Electronics Time: 3 Hours Maximum

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Control and Datapath 8

Control and Datapath 8 Control and Datapath 8 Engineering attempts to develop design methods that break a problem up into separate steps to simplify the design and increase the likelihood of a correct solution. Digital system

More information

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013

1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013 MARIE CURIE IAPP: FAST TRACKER FOR HADRON COLLIDER EXPERIMENTS 1 ST SUMMER SCHOOL: VHDL BOOTCAMP PISA, JULY 2013 Introduction to VHDL Calliope-Louisa Sotiropoulou PhD Candidate/Researcher Aristotle University

More information

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems

Lecture 3: Modeling in VHDL. EE 3610 Digital Systems EE 3610: Digital Systems 1 Lecture 3: Modeling in VHDL VHDL: Overview 2 VHDL VHSIC Hardware Description Language VHSIC=Very High Speed Integrated Circuit Programming language for modelling of hardware

More information

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution March 2, 2006

The University of Alabama in Huntsville ECE Department CPE Midterm Exam Solution March 2, 2006 The University of Alabama in Huntsville ECE Department CPE 526 01 Midterm Exam Solution March 2, 2006 1. (15 points) A barrel shifter is a shift register in which the data can be shifted either by one

More information

COE 405 Design Methodology Based on VHDL

COE 405 Design Methodology Based on VHDL COE 405 Design Methodology Based on VHDL Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Elements of VHDL Top-Down Design Top-Down Design with

More information

Contents. Appendix D VHDL Summary Page 1 of 23

Contents. Appendix D VHDL Summary Page 1 of 23 Appendix D VHDL Summary Page 1 of 23 Contents Appendix D VHDL Summary...2 D.1 Basic Language Elements...2 D.1.1 Comments...2 D.1.2 Identifiers...2 D.1.3 Data Objects...2 D.1.4 Data Types...2 D.1.5 Data

More information

CprE 583 Reconfigurable Computing

CprE 583 Reconfigurable Computing Recap Moore FSM Example CprE / ComS 583 Reconfigurable Computing Moore FSM that recognizes sequence 10 0 1 0 1 S0 / 0 S1 / 0 1 S2 / 1 Prof. Joseph Zambreno Department of Electrical and Computer Engineering

More information

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1

FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 FPGA Design Challenge :Techkriti 14 Digital Design using Verilog Part 1 Anurag Dwivedi Digital Design : Bottom Up Approach Basic Block - Gates Digital Design : Bottom Up Approach Gates -> Flip Flops Digital

More information

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 9 & 10 : Combinational and Sequential Logic

FPGA BASED SYSTEM DESIGN. Dr. Tayab Din Memon Lecture 9 & 10 : Combinational and Sequential Logic FPGA BASED SYSTEM DESIGN Dr. Tayab Din Memon tayabuddin.memon@faculty.muet.edu.pk Lecture 9 & 10 : Combinational and Sequential Logic Combinational vs Sequential Logic Combinational logic output depends

More information

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed.

Federal Urdu University of Arts, Science and Technology, Islamabad VLSI SYSTEM DESIGN. Prepared By: Engr. Yousaf Hameed. VLSI SYSTEM DESIGN Prepared By: Engr. Yousaf Hameed Lab Engineer BASIC ELECTRICAL & DIGITAL SYSTEMS LAB DEPARTMENT OF ELECTRICAL ENGINEERING VLSI System Design 1 LAB 01 Schematic Introduction to DSCH and

More information

!"#$%&&"'(')"*+"%,%-".#"'/"'.001$$"

!#$%&&'(')*+%,%-.#'/'.001$$ !"#$%&&"'(')"*+"%,%-".#"'/"'.001$$"!!"#$%&'#()#*+"+#,-."/0110#230#4."50",+"+#)6# 6+-+#(.6+-0#)4475.8)60#0/#.65-0#230#9+**+"+# 2.48).-0#(.6+-0#! 2+"*5."5*:#,."/0110#;)**0! *),".6*:#-.99-0*0"5."+#2+660,.40"5)#;)*)2)#

More information

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University

ECE 545 Lecture 6. Behavioral Modeling of Sequential-Circuit Building Blocks. George Mason University ECE 545 Lecture 6 Behavioral Modeling of Sequential-Circuit Building Blocks George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 5.1, VHDL Process Chapter 8, Sequential

More information

Introduction to VHDL #1

Introduction to VHDL #1 ECE 3220 Digital Design with VHDL Introduction to VHDL #1 Lecture 3 Introduction to VHDL The two Hardware Description Languages that are most often used in industry are: n VHDL n Verilog you will learn

More information

CCE 3202 Advanced Digital System Design

CCE 3202 Advanced Digital System Design CCE 3202 Advanced Digital System Design Lab Exercise #2 This lab exercise will show you how to create, synthesize, and test a 3-bit ripple counter. A ripple counter is simply a circuit that outputs the

More information

HDL PROGRAMING AND EDA TOOLS LABORATORY MANUAL FOR I / II M.TECH VLSI DESIGN (ECE) I - SEMESTER

HDL PROGRAMING AND EDA TOOLS LABORATORY MANUAL FOR I / II M.TECH VLSI DESIGN (ECE) I - SEMESTER HDL PROGRAMING AND EDA TOOLS LABORATORY MANUAL FOR I / II M.TECH VLSI DESIGN (ECE) I - SEMESTER DEPT. OF ELECTRONICS AND COMMUNICATION ENGINEERING SIR C.R.REDDY COLLEGE OF ENGINEERING ELURU 534 007 HDL

More information

A bird s eye view on VHDL!

A bird s eye view on VHDL! Advanced Topics on Heterogeneous System Architectures A bird s eye view on VHDL Politecnico di Milano Conference Room, Bld 20 19 November, 2015 Antonio R. Miele Marco D. Santambrogio Politecnico di Milano

More information

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design

Two HDLs used today VHDL. Why VHDL? Introduction to Structured VLSI Design Two HDLs used today Introduction to Structured VLSI Design VHDL I VHDL and Verilog Syntax and ``appearance'' of the two languages are very different Capabilities and scopes are quite similar Both are industrial

More information

VHDL. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning

VHDL. ELEC 418 Advanced Digital Systems Dr. Ron Hayne. Images Courtesy of Cengage Learning VHDL ELEC 418 Advanced Digital Systems Dr. Ron Hayne Images Courtesy of Cengage Learning Design Flow 418_02 2 VHDL Modules 418_02 3 VHDL Libraries library IEEE; use IEEE.std_logic_1164.all; std_logic Single-bit

More information

Chapter 2 Basic Logic Circuits and VHDL Description

Chapter 2 Basic Logic Circuits and VHDL Description Chapter 2 Basic Logic Circuits and VHDL Description We cannot solve our problems with the same thinking we used when we created them. ----- Albert Einstein Like a C or C++ programmer don t apply the logic.

More information

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools

ECE U530 Digital Hardware Synthesis. Course Accounts and Tools ECE U530 Digital Hardware Synthesis Prof. Miriam Leeser mel@coe.neu.edu Sept 13, 2006 Lecture 3: Basic VHDL constructs Signals, Variables, Constants VHDL Simulator and Test benches Types Reading: Ashenden

More information

Scheme G. Sample Test Paper-I

Scheme G. Sample Test Paper-I Sample Test Paper-I Marks : 25 Times:1 Hour 1. All questions are compulsory. 2. Illustrate your answers with neat sketches wherever necessary. 3. Figures to the right indicate full marks. 4. Assume suitable

More information

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL

IE1204 Digital Design L7: Combinational circuits, Introduction to VHDL IE24 Digital Design L7: Combinational circuits, Introduction to VHDL Elena Dubrova KTH / ICT / ES dubrova@kth.se This lecture BV 38-339, 6-65, 28-29,34-365 IE24 Digital Design, HT 24 2 The multiplexer

More information

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University

ECE 545 Lecture 5. Data Flow Modeling in VHDL. George Mason University ECE 545 Lecture 5 Data Flow Modeling in VHDL George Mason University Required reading P. Chu, RTL Hardware Design using VHDL Chapter 4, Concurrent Signal Assignment Statements of VHDL 2 Types of VHDL Description

More information

1. What is y-chart? ans: The y- chart consists of three domains:- behavioral, structural and geometrical.

1. What is y-chart? ans: The y- chart consists of three domains:- behavioral, structural and geometrical. SECTION- A Short questions: (each 2 marks) 1. What is y-chart? ans: The y- chart consists of three domains:- behavioral, structural and geometrical. 2. What is fabrication? ans: It is the process used

More information

5. 0 VHDL OPERATORS. The above classes are arranged in increasing priority when parentheses are not used.

5. 0 VHDL OPERATORS. The above classes are arranged in increasing priority when parentheses are not used. Filename= ch5.doc 5. 0 VHDL OPERATORS There are seven groups of predefined VHDL operators: 1. Binary logical operators: and or nand nor xor xnor 2. Relational operators: = /= < >= 3. Shifts operators:

More information

EL 310 Hardware Description Languages Midterm

EL 310 Hardware Description Languages Midterm EL 3 Hardware Description Languages Midterm 2 3 4 5 Total Name: ID : Notes: ) Please answer the questions in the provided space after each question. 2) Duration is minutes 3) Closed books and closed notes.

More information

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS

KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK NAME OF THE SUBJECT: EE 2255 DIGITAL LOGIC CIRCUITS YEAR / SEM: II / IV UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL

More information

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006

310/ ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 310/1780-10 ICTP-INFN Advanced Tranining Course on FPGA and VHDL for Hardware Simulation and Synthesis 27 November - 22 December 2006 VHDL & FPGA - Session 2 Nizar ABDALLH ACTEL Corp. 2061 Stierlin Court

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI

SHRI ANGALAMMAN COLLEGE OF ENGINEERING. (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI SHRI ANGALAMMAN COLLEGE OF ENGINEERING AND TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR, TIRUCHIRAPPALLI 621 105 DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING EC1201 DIGITAL

More information

Getting Started with VHDL

Getting Started with VHDL Getting Started with VHDL VHDL code is composed of a number of entities Entities describe the interface of the component Entities can be primitive objects or complex objects Architectures are associated

More information

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I

COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS UNIT I KINGS COLLEGE OF ENGINEERING DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING QUESTION BANK SUBJECT CODE & NAME: EC 1312 DIGITAL LOGIC CIRCUITS YEAR / SEM: III / V UNIT I NUMBER SYSTEM & BOOLEAN ALGEBRA

More information

Written exam for IE1204/5 Digital Design Thursday 29/

Written exam for IE1204/5 Digital Design Thursday 29/ Written exam for IE1204/5 Digital Design Thursday 29/10 2015 9.00-13.00 General Information Examiner: Ingo Sander. Teacher: William Sandqvist phone 08-7904487 Exam text does not have to be returned when

More information

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory

ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory ECE4401 / CSE3350 ECE280 / CSE280 Digital Design Laboratory Instructor John Chandy Office: ITEB 437 Office Hours: W10-12 Tel: (860) 486-5047 Email: john.chandy@uconn chandy@uconn.edu Class home page: HuskyCT

More information

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009

Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis. 26 October - 20 November, 2009 2065-15 Advanced Training Course on FPGA Design and VHDL for Hardware Simulation and Synthesis 26 October - 20 November, 2009 FPGA Architectures & VHDL Introduction to Synthesis Nizar Abdallah ACTEL Corp.2061

More information

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY

DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY DHANALAKSHMI SRINIVASAN COLLEGE OF ENGINEERING AND TECHNOLOGY Dept/Sem: II CSE/03 DEPARTMENT OF ECE CS8351 DIGITAL PRINCIPLES AND SYSTEM DESIGN UNIT I BOOLEAN ALGEBRA AND LOGIC GATES PART A 1. How many

More information

Inferring Storage Elements

Inferring Storage Elements Inferring Storage Elements In our designs, we usually use flip-flops as our storage elements. Sometimes we use latches, but not often. Latches are smaller in size, but create special, often difficult situations

More information

Building Blocks. Entity Declaration. Entity Declaration with Generics. Architecture Body. entity entity_name is. entity register8 is

Building Blocks. Entity Declaration. Entity Declaration with Generics. Architecture Body. entity entity_name is. entity register8 is Building Blocks Entity Declaration entity entity_name is [signal] identifier {, identifier}: [mode] signal_type {; [signal] identifier {, identifier}: [mode] signal_type}); end [entity ] [entity_name];

More information

Modeling Sequential Circuits in Verilog

Modeling Sequential Circuits in Verilog Modeling Sequential Circuits in Verilog COE 202 Digital Logic Design Dr. Muhamed Mudawar King Fahd University of Petroleum and Minerals Presentation Outline Modeling Latches and Flip-Flops Blocking versus

More information

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language

VHDL. VHDL History. Why VHDL? Introduction to Structured VLSI Design. Very High Speed Integrated Circuit (VHSIC) Hardware Description Language VHDL Introduction to Structured VLSI Design VHDL I Very High Speed Integrated Circuit (VHSIC) Hardware Description Language Joachim Rodrigues A Technology Independent, Standard Hardware description Language

More information

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS)

UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS PART-A (2 MARKS) SUBJECT NAME: DIGITAL LOGIC CIRCUITS YEAR / SEM : II / III DEPARTMENT : EEE UNIT I BOOLEAN ALGEBRA AND COMBINATIONAL CIRCUITS 1. What is variable mapping? 2. Name the two canonical forms for Boolean algebra.

More information

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 3

DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 3 DIGITAL LOGIC WITH VHDL (Fall 2013) Unit 3 BEHAVIORAL DESCRIPTION Asynchronous processes (decoder, mux, encoder, etc): if-else, case, for-loop. BEHAVIORAL DESCRIPTION (OR SEQUENTIAL) In this design style,

More information

The VHDL Hardware Description Language

The VHDL Hardware Description Language The VHDL Hardware Description Language p. 1/? The VHDL Hardware Description Language CSEE W4840 Prof. Stephen A. Edwards Columbia University The VHDL Hardware Description Language p. 2/? Why HDLs? 1970s:

More information

C-Based Hardware Design

C-Based Hardware Design LECTURE 6 In this lecture we will introduce: The VHDL Language and its benefits. The VHDL entity Concurrent and Sequential constructs Structural design. Hierarchy Packages Various architectures Examples

More information

Experiment 8 Introduction to VHDL

Experiment 8 Introduction to VHDL Experiment 8 Introduction to VHDL Objectives: Upon completion of this laboratory exercise, you should be able to: Enter a simple combinational logic circuit in VHDL using the Quartus II Text Editor. Assign

More information