Natixis Technology Conference

Size: px
Start display at page:

Download "Natixis Technology Conference"

Transcription

1 Natixis Technology Conference Marcel Kemp Director Investor Relations - Europe March 24, 2016

2 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including statements with respect to our outlook, including expected customer demand in specified market segments including memory, logic and foundry, expected trends, expected liquidity and capital structure, expected levels of service sales, systems backlog, expected financial results for the first quarter of 2016 and expected sales trends in the second quarter, including expected sales, other income, gross margin, R&D and SG&A expenses and effective tax rate, annual revenue opportunity for ASML and EPS potential by end of decade, productivity of our tools and systems performance, TWINSCAN and EUV system performance (such as endurance tests), expected industry trends, statements with respect to expected system shipments, including the number of EUV systems expected to be shipped and timing of shipments and recognition in revenue and other EUV targets (including availability, productivity and shipments) and roadmaps, shrink being key driver to industry growth, the expected continuation of Moore's law and that EUV will continue to enable Moore s law and drive long term value, goals for holistic lithography, intention to return excess cash to shareholders, and statements about our proposed dividend, dividend policy and intention to repurchase shares. You can generally identify these statements by the use of words like "may", "will", "could", "should", "project", "believe", "anticipate", "expect", "plan", "estimate", "forecast", "potential", "intend", "continue" and variations of these words or comparable words. These statements are not historical facts, but rather are based on current expectations, estimates, assumptions and projections about the business and our future financial results and readers should not place undue reliance on them. Forward-looking statements do not guarantee future performance and involve risks and uncertainties. These risks and uncertainties include, without limitation, economic conditions, product demand and semiconductor equipment industry capacity, worldwide demand and manufacturing capacity utilization for semiconductors (the principal product of our customer base), including the impact of general economic conditions on consumer confidence and demand for our customers' products, competitive products and pricing, the impact of manufacturing efficiencies and capacity constraints, performance of our systems, the continuing success of technology advances and the related pace of new product development and customer acceptance of new products, the number and timing of EUV systems expected to be shipped and recognized in revenue, delays in EUV systems production and development, our ability to enforce patents and protect intellectual property rights, the risk of intellectual property litigation, availability of raw materials and critical manufacturing equipment, trade environment, changes in exchange rates, changes in tax rates, available cash and liquidity, our ability to refinance our indebtedness, distributable reserves for dividend payments and share repurchases, and other risks indicated in the risk factors included in ASML's Annual Report on Form 20-F and other filings with the US Securities and Exchange Commission. These forwardlooking statements are made only as of the date of this document. We do not undertake to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise. Slide 2

3 Welcome to where the magic happens ASML : Leading supplier in lithography equipment, a critical part of semiconductor manufacturing Slide 3 1/22/ ,681 employees ww 5,771 R&D engineers ww 95 nationalities 65,000+ m² net office space 50,000+ m² cleanroom space

4 Slide 4 Business environment Update on EUV Long term growth opportunity

5 highlights Record net sales of 6.3 billion, including record Service and Options sales of 2 billion, gross margin 46.1%, net income 1.4 billion and EPS 3.22 Slide 5 EUV: we met our 2015 productivity and availability targets and successfully started shipping NXE:3350B, our 4th generation EUV system DUV: successful ramping our TWINSCAN NXT:1980, our next generation immersion system, shipped 7 systems Holistic litho: continued adoption of these products with all our major customers Capital return: returned 867 million cash to shareholders through combined dividend and share buyback

6 Net Sales in M 2015 was another record sales year for ASML Service and options grew to 32% of the business Net sales by end-use , ,111 3, ,736 3, ,294 2, , , , , , ,252 2, ,489 1,614 1, ,050 1, ,225 2, Numbers have been rounded for readers convenience 1,596 4,508 5,651 4,732 5,245 5,856 6,287 Memory IDM Foundry Slide 6 Service & Options

7 Business environment Memory 2x nm DRAM node progressing, 1x nm node initial production starting Planar NAND shrink continues 3D NAND technology ramping New fab announcement for China One fab near completion and one fab equipment-ready by mid year X-Point architecture introduced and market opportunity being evaluated Slide 7 Multiple new foundry fabs accepting equipment in 2016 Continued demand for litho tools for several nodes Modest tool shipments continue for 28 nm and 16/14 nm nodes 10 nm foundry and MPU significant volume ramp starting in Q Logic Service & field options High demand for service and field options continues to be driven by Holistic litho, growing installed base and upgrade products which allows for improved process control and capital efficiency

8 System backlog in value Slide 8 Q4 15 total value 3,184 million New systems Used systems Units Value M 3, Q3 15 total value 2,880 million New systems Used systems Units Value M 2, Numbers have been rounded for readers convenience

9 Outlook Our guidance for Q1: Slide 9 Q1 net sales approximately 1.3 billion Gross margin around 42% R&D costs of about 275 million SG&A costs of about 90 million Other income (Customer Co-Investment Program) of about 23 million Effective tax rate for full year 2016 around 13% As we indicated 3 months ago, we expect logic customers to take shipments for ramping the 10 nm node starting Q2 As a result, we expect Q2 sales to increase significantly from Q1 level Numbers have been rounded for readers convenience

10 Slide 10 Business environment Update on EUV Long term growth opportunity

11 EUV targets and achievements 2015 achievements Productivity - Target: 1000 wafers per day More than 1000 wafers per day exposed on NXE:3300B at customer site, further improved to more than 1250 wafers per day on NXE:3350B at ASML More than 15,000 wafers exposed in four-week manufacturing readiness test at customer site 2016 targets 1500 wafers per day Slide 11 Availability - Target: 70% Majority of customer systems achieved four-week average availability of more than 70%; best result more than 80% over four weeks Overall annual average availability is lower, still needs to be improved 80% NXE: Target: 6 Two NXE:3350B systems shipped as of end-2015 One shipment in progress 6-7 NXE shipments (NXE:3300, NXE:3350, NXE:3400)

12 Wafers per day Wafers per day capability: multiple NXE:3300B above 1,000 NXE:3350B demonstrated 1,368 wpd NXE:3300B at customers NXE:3350B at ASML factory Slide 12 WpD: maximum number of wafers exposed in a 24 hr period NXE:3300B systems NXE:3350B ATP test: 96 fields, 20mJ/cm2

13 Total number of wafers exposed NXE:3300B productivity supports customer process development >300k wafers exposed on NXE:3300B at customer sites Slide , , , , , ,000 50,

14 Availability: capability beyond 75% proven on multiple field systems 2016 focus: reduce scheduled maintenance, reduce variability Slide 14 ~ 50% of downtime is scheduled down Best in class (over 14 days period) Maximum 4 weeks average realized in the field Uptime = productive time + standby time + engineering time

15 Run time (hours) Swap time (hours) Droplet Generator: ~2x improvements in run time, swap time Next generation DGen: demonstrated > 1 month lifetime capability Slide Swap time Gas Sn Filter Modulator Nozzle Type 1 Dgen (field) Type 2 Dgen (field) Q Q Q1 0 Type 3 Dgen (ASML)

16 New resist materials: towards 16nm resolution at full throughput best results show 19% EL, 4.4nm 18.5mJ/cm2 16nm Horizontal Dense lines/spaces NXE:3350 Reference CAR New formulation non-car New formulation CAR Slide 16 SEM Dose 40 mj/cm mj/cm 2 25 mj/cm 2 Exposure Latitude 16 % 19 % 16 % DoF 145 nm 125 nm 100 nm LWR 4.6 nm 4.4 nm 5.2 nm Exposures done on NXE:3350B system with Dipole90Y illumination. LWR: Line Width Roughness

17 Summary: EUV readying for volume manufacturing Completed qualification of five NXE:3350B, the 4 th generation EUV exposure tool, one system qualified at 75 wph Slide 17 Multiple systems demonstrated >1,000 wafers per day capability, with one system exceeding 1,350 wpd 80W configuration operational in the field, 125W configuration qualification completed 80% system availability capability demonstrated Excellent NXE:3350B imaging and overlay performance at> 80W power Continuous progress in resist formulation promising towards enabling 13nm half pitch at high throughput

18 Slide 18 Business environment Update on EUV Long term growth opportunity

19 Lithography is a key enabler of semiconductor shrink and the resulting cost reduction $2,305 for 1 GB Cost reduction through shrink Slide 19 Moore's Law: The capacity / functionality of each new chip doubles every months at the same cost Cheaper and smaller chips enable the introduction of new devices, which in turn drives market growth $0.17 for 1 GB Source: isuppli

20 ASML enables process evolution of electronic devices Slide iphone 2009 iphone 3G 2010 iphone 4 ipad 2011 iphone 4S ipad ipad iphone ipad iphone 5S ipad Air 2014 iphone 6/ ipad Air iphone 6S/6S+ APL nm APL nm A4 45nm A5 A5X A6 32nm A6X A7 28nm A8 20nm A8X A9 A9X 14/16nm XT:12X0 Shrink Add functions XT:14X0 Shrink Add functions XT:17X0I Shrink Add functions Add functions XT:19X0I Shrink Add functions Add functions NXT:1950Ai Shrink Add functions NXT:1960Bi Shrink Add functions Add functions NXT:1970Ci Shrink Add functions 72mm² 71.8mm² 53.3mm² 122.2mm² 169mm² 97mm² 123mm² 102mm² 87.4mm² 128mm² 96&104mm² 16 bit 1 Core 1 GPU 412 MHz 32 bit 1 Core 1 GPU 412 MHz Source: Chipworks 32 bit 1 Core 1 GPU 0.8 GHz 32 bit 2 Core 2 GPU 0.8 GHz 32 bit 2 Core 4 GPU 1.0 GHz 32 bit 2 Core 3 GPU 1.2 GHz 32 bit 2 Core 4 GPU 1.4 GHz 64 bit 2 Core 4 GPU 1.3 GHz 64 but 2 Core 4 GPU 1.4 GHz 64 bit 3 Core 8 GPU 1.5 GHz 64 bit 2 Core 6 GPU 1.5 GHz

21 The role of lithography in the value chain is enabling strong growth driven by innovation that continues shrink Slide 21 Annual growth World-wide GDP Revenues 2-3% growth* Electronics Manufacturing $2,100B+* (2016) 5-6% growth* - - Semiconductor Manufacturing $361B* (2016) ASML: ~10% growth Market share within litho > 80% Litho share of WFE ~20% High entry barriers, no alternative technologies * Source: IC Insights, VLSI Research ** Systems sales only ASML Nikon Canon $6B* / ** (2016) Continuous lower cost per function despite: Increased number of litho passes per wafer, and Higher cost per pass

22 Moore s law will continue to fuel demand growth Further penetration of current applications through new features and lower cost Smartphones, B units Tablets*, B units % p.a % p.a New applications are enabled by higher performance and lower cost Wearables, B units % p.a Slide Connected devices, B units Servers, M units # cores/server will also grow +3% p.a % p.a Source: Gartner Q4 15

23 ebay (4) Facebook (5) Alibaba (4) Tencent (4) Hitachi (5) Toshiba (3) FoxConn (5) Micron (4) ASML is part of an industry with considerable financial means, incentives to compete and drive for innovation... Slide 23 Top technology companies in our ecosystem (EBIT 2014, $B) ASML Semi Peers Other ASML (1.8) AMAT (1.5) LRCX (0.7) KLAC (0.8) TEL(0.7) Semi equipment (9) Semi manufacturers (16) TI (4) (8) SK Hynix (5) Semi design (10) Ericsson (3) (6) (53) Hardware manufacturers (9) (23) (28) Baidu (2) Yahoo (2) (17) (15) EMC (4) (6) (20) Software and services Source: McKinsey & Company Total EBIT 2013 = ~250 $B 2014 = ~275 $B

24 Storage Memory Working Memory Logic and to facilitate innovation, technology roadmaps are fully aligned with all key market players Slide 24 High Volume Manufacturing EUV ready for HVM nm 20nm 14/16nm 10nm 7nm 5nm 3nm DRAM 3xL 2xH 2xM Planar Floating Gate NAND 2xL 1xH 1xM 3D NAND 5x x24 5x x32 2xL 1xH 1xL 5x x48 ~ x64 1xM 1xL MRAM 1xM 5x x96 X-Point: ReRAM, CBRAM, PC-RAM 1xL Industry long-term roadmaps need strategic partnerships to succeed ASML key enabler of EUV EUV roadmap on track for High Volume Manufacturing in 2018/2019 Today s status Production Development Research Roadmap Node x # of layers 2x x8 1xM x8 1xL x8 Source: ASML, Customer roadmaps

25 The company is well on track to reach its 2020 ambitions 2014 (Actuals) 2015 (Actuals) By 2020 (Model) Net sales 5.9B 6.3B ~ 10B Gross margin % 44.3% 46.1% ~50% Driver Growing share of Litho; enabling cost affordable shrink in a growing industry EUV to corporate average Contributions from Service and Holistic Lithography Slide 25 R&D* % sales 18.3% 17.0% ~13% R&D growing much slower than sales SG&A % sales 5.5% 5.5% ~4% SG&A growing much slower than sales Effective Tax Rate 6.0% 10.4 % ~10% Innovation box in the Netherlands Cash Conversion Cycle 309 days 296 days <200 days Inventory turnover back to historical levels Capex % sales 6.2% 5.9% ~5% Continued infrastructure investments * R&D excluding Customer Co-Investment funding

26

27 Capital return to shareholders Paid 302 million in dividend and purchased 565 million worth of our own shares in 2015 Propose to increase dividend by 50% to 1.05 per ordinary share Announcing new plan for share buyback program of 1.5 billion over 2016/2017, which includes approx. 500 million remaining of our prior program Slide 27 Dividend Share buyback proposed The dividend for a year is paid in the subsequent year Numbers have been rounded for readers convenience

28 All top semiconductor manufacturers rely on ASML Company Segment 2016 capex (est., $B) Foundry + Memory 11.0 Foundry 9.5 Integrated Devices 9.5 Memory 5.4 Memory 5.2 Foundry 3.8 Memory 2.8 Foundry 2.2 Others 1.5 Foundry 1.3 Others 14.6 Slide 28 Source: VLSI Research (2 February 2016) Total 66.8

29 ASML value is recognized by our customers Slide 29 Source: VLSI Research The Chip Insider (October 15, 2015) * Net Promotor Scoreˢ is a service mark of Bain & Company, Satmetrix System Inc., and Fred Reicheld Source: 2015 VLSI Research Customer Satisfaction Survey

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Piper Jaffray Europe Conference London

Piper Jaffray Europe Conference London Piper Jaffray Europe Conference London Franki D Hoore Director Investor Relations June 22, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995:

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

2010 UBS Global Technology and Services Conference

2010 UBS Global Technology and Services Conference 2010 UBS Global Technology and Services Conference Eric Meurice CEO New York, New York June 8, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications UBS Global Technology and Services Conference New York City Craig DeYoung VP, Investor Relations & Corporate Communications June 9, 2009 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private

More information

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014

EUV. Frits van Hout Executive Vice President & Chief Program Officer. 24 November 2014 EUV Frits van Hout Executive Vice President & Chief Program Officer 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking,

More information

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference

Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Driving the Technology Frontier; Implications on this Cycle Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Craig De Young VP Investor Relations and Corporate Communications March

More information

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch

Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Taiwan, Technology and Beyond Conference Bank of America Merrill Lynch Craig DeYoung VP Investor Relations Taipei, Taiwan March 15, / Slide 1 Public Safe Harbor "Safe Harbor" Statement under the US Private

More information

TMT Conference 2011 Bank of America

TMT Conference 2011 Bank of America TMT Conference 2011 Bank of America London Franki D Hoore, Director European Investor Relations June 7, 2011 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

EUV: Enabling cost efficiency, tech innovation and future industry growth

EUV: Enabling cost efficiency, tech innovation and future industry growth BAML 2019 APAC TMT Conference EUV: Enabling cost efficiency, tech innovation and future industry growth Craig De Young VP Investor Relations Asia Taipei, Taiwan March 20, 2019 Forward Looking Statements

More information

Conference call February 2, :00 / Helsinki 08:00 / New York 1 Nokia Q4 and FY 2016

Conference call February 2, :00 / Helsinki 08:00 / New York 1 Nokia Q4 and FY 2016 Conference call February 2, 2017 15:00 / Helsinki 08:00 / New York 1 Nokia 2016 Q4 and FY 2016 Disclaimer It should be noted that Nokia and its business are exposed to various risks and uncertainties,

More information

Conference call April 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q1 2018

Conference call April 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q1 2018 Conference call April 26, 2018 15:00 / Helsinki 08:00 / New York 1 Nokia 2016 Q1 2018 Disclaimer It should be noted that Nokia and its business are exposed to various risks and uncertainties, and certain

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Conference call July 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2018

Conference call July 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2018 Conference call July 26, 2018 15:00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2018 Disclaimer It should be noted that Nokia and its business are exposed to various risks and uncertainties, and certain

More information

Conference call October 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q3 2017

Conference call October 26, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q3 2017 Conference call October 26, 2017 15:00 / Helsinki 08:00 / New York 1 Nokia 2016 Q3 2017 Disclaimer It should be noted that Nokia and its business are exposed to various risks and uncertainties, and certain

More information

2015 SEMICON West Analyst Briefing

2015 SEMICON West Analyst Briefing 2015 SEMICON West Analyst Briefing Rick Wallace President and Chief Executive Officer Bren Higgins Chief Financial Officer Safe Harbor This presentation contains certain forward-looking statements within

More information

2017 Q4 Earnings Conference Call

2017 Q4 Earnings Conference Call 2017 Q4 Earnings Conference Call Forward Looking Statements This presentation includes certain forward-looking statements that are made as of the date hereof and are based upon current expectations, which

More information

Forward Looking Statement

Forward Looking Statement Forward Looking Statement This presentation contains forward-looking statements which are statements that refer to expectations and plans for the future and include, without limitation, statements regarding

More information

Investor Presentation October 2018

Investor Presentation October 2018 Investor Presentation October 2018 Safe Harbor This presentation contains forward-looking statements concerning Atomera Incorporated ( Atomera, the Company, we, us, and our ). The words believe, may, will,

More information

Cincinnati Bell Inc. March 4, 2013

Cincinnati Bell Inc. March 4, 2013 Cincinnati Bell Inc. March 4, 2013 Safe Harbor This presentation and the documents incorporated by reference herein contain forwardlooking statements regarding future events and our future results that

More information

Silicon Motion Technology Corporation

Silicon Motion Technology Corporation Silicon Motion Technology Corporation Silicon for Mobile Information Investor Presentation March 2006 Safe Harbor Statement This presentation does not constitute or form part of any offer for sale or subscription

More information

Cincinnati Bell Third Quarter 2011 Results. November 3, 2011

Cincinnati Bell Third Quarter 2011 Results. November 3, 2011 Cincinnati Bell Third Quarter 2011 Results November 3, 2011 Today s Agenda Performance Highlights Jack Cassidy, President & Chief Executive Officer Review of Wireline, Wireless, IT Services & Hardware

More information

Strengthening the leadership

Strengthening the leadership Strengthening the leadership Press conference, SEMICON West 2005 Martin van den Brink, Executive Vice President ASML / Slide 1 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation

More information

Verizon closes 2017 with strong wireless customer growth and retention, well-positioned in new markets

Verizon closes 2017 with strong wireless customer growth and retention, well-positioned in new markets News Release FOR IMMEDIATE RELEASE January 23, 2018 Media contact: Bob Varettoni 908.559.6388 robert.a.varettoni@verizon.com Verizon closes 2017 with strong wireless customer growth and retention, well-positioned

More information

Conference Call Second Quarter 2003 Financial Results. Jorma Ollila Chairman and CEO

Conference Call Second Quarter 2003 Financial Results. Jorma Ollila Chairman and CEO Conference Call Second Quarter 2003 Financial Results Jorma Ollila Chairman and CEO Olli-Pekka Kallasvuo Executive Vice President and CFO Ulla James Vice President, Investor Relations July 17, 2003 15.00

More information

Investor Relations Presentation

Investor Relations Presentation A T H E R O S C O M M U N I C A T I O N S, I N C. Investor Relations Presentation Q1 2008 WIRELESS FUTURE. UNLEASHED NOW. ATHEROS COMMUNICATIONS Safe Harbor Statement Some of the information in this presentation

More information

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO B. Riley & Co. 16 th Annual Investor Conference Jeffrey Andreson, CFO May 2015 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

T-Mobile US Q4 and Full Year 2013

T-Mobile US Q4 and Full Year 2013 T-Mobile US Q4 and Full Year 2013 Disclaimer This presentation contains forward-looking statements within the meaning of the U.S. federal securities laws. For those statements, we claim the protection

More information

1Q17 RESULTS M AY / 2017

1Q17 RESULTS M AY / 2017 RESULTS M AY / 2017 Positivo Tecnologia recorded a net revenue of R$453.5 million in, an increase of 20.7% Continuous progress in the diversification of the business, with mobile phones reaching 32.6%

More information

DNA Plc January-March 2018 Interim Report

DNA Plc January-March 2018 Interim Report DNA Plc January-March 2018 Interim Report 19 April, 2018 Jukka Leinonen, CEO Timo Karppinen, CFO Forward looking statement This presentation contains, or may be deemed to contain, statements that are not

More information

MANAGEMENT DISCUSSION AND ANALYSIS THIRD QUARTER 2013 MD&A. Total active subscribers (in thousand) Q212 Q312 Q412 Q113 Q213 Q313

MANAGEMENT DISCUSSION AND ANALYSIS THIRD QUARTER 2013 MD&A. Total active subscribers (in thousand) Q212 Q312 Q412 Q113 Q213 Q313 MD&A Q313 Highlights On 23 July 2013, we successfully launched the new 3G 2.1GHz network, together with our existing 2G and 3G 850MHz networks, enabling us to serve our customers with better customer experience

More information

18 August Hong Kong. SSIL 2015 Interim Results Announcement 18 Aug 2015 P. 1

18 August Hong Kong. SSIL 2015 Interim Results Announcement 18 Aug 2015 P. 1 18 August 2015 18 Hong August Kong 2014 Hong Kong P. 1 Disclaimer This presentation does not constitute an offer or solicitation to anyone in any jurisdiction in which such offer or solicitation is not

More information

Tech Data s Acquisition of Avnet Technology Solutions

Tech Data s Acquisition of Avnet Technology Solutions Tech Data s Acquisition of Avnet Technology Solutions Creating a Premier Global IT Distributor: From the Data Center to the Living Room September 19, 2016 techdata.com 1 Forward-Looking Statements Safe

More information

Acquisition of SIMCom Wireless

Acquisition of SIMCom Wireless Acquisition of SIMCom Wireless Investor presentation January 20, 2017 locate, communicate, accelerate Disclaimer This presentation contains certain forward-looking statements. Such forward-looking statements

More information

Nanya Technology. CREDIT SUISSE 18th Annual Asian Technology Conference

Nanya Technology. CREDIT SUISSE 18th Annual Asian Technology Conference Nanya Technology CREDIT SUISSE 18th Annual Asian Technology Conference Presentation to Investors & Analysts, September 6 th -7 th, 2017 Dr. Pei Ing Lee, President & Spokesman Mr. Joseph Wu, AVP & Deputy

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO April 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

INVESTOR PRESENTATION APRIL 2017

INVESTOR PRESENTATION APRIL 2017 INVESTOR PRESENTATION APRIL 217 Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties, as well as assumptions that, if proven incorrect,

More information

SAFARICOM LIMITED ANNOUNCES AUDITED RESULTS FOR THE YEAR ENDED 31 MARCH 2016.

SAFARICOM LIMITED ANNOUNCES AUDITED RESULTS FOR THE YEAR ENDED 31 MARCH 2016. SAFARICOM LIMITED ANNOUNCES AUDITED RESULTS FOR THE YEAR ENDED 31 MARCH 2016. KEY HIGHLIGHTS Delivering on strategy continues to grow our revenues with continued significance in non-voice revenues Service

More information

4 TH. 4Q13 Earnings Conference Call January 28, AT&T Investor Update

4 TH. 4Q13 Earnings Conference Call January 28, AT&T Investor Update 4Q13 Earnings Conference Call January 28, 2014 AT&T Investor Update 4 TH 2014 AT&T Intellectual Property. All rights reserved. AT&T, the AT&T logo and all other marks contained herein are trademarks of

More information

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMICON Korea Press Conference January 2018 Dan Tracy, Sr. Director SEMI Industry Research & Statistics Agenda 2017 Wrap Up 2018 Semiconductor

More information

Earnings Release Conference Second Quarter of FY2017

Earnings Release Conference Second Quarter of FY2017 Earnings Release Conference Second Quarter of FY2017 (July 1, 2017 to September 30, 2017) October 31,2017 Murata Manufacturing Co., Ltd. http://www.murata.com/ir/library/index.html 1. Second Quarter of

More information

Spreadtrum Communications, Inc.

Spreadtrum Communications, Inc. Spreadtrum Communications, Inc. Technology for the Mobile World b 2012 Second Quarter Results China United States Korea India Taiwan www.spreadtrum.com 1 Safe Harbor Statement This presentation contains

More information

Conference call August 4, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2016

Conference call August 4, :00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2016 Conference call August 4, 2016 15:00 / Helsinki 08:00 / New York 1 Nokia 2016 Q2 2016 Disclaimer It should be noted that Nokia and its business are exposed to various risks and uncertainties, and certain

More information

Investor Presentation. February 2016

Investor Presentation. February 2016 Investor Presentation February 2016 Disclaimer Forward-Looking Statements This presentation contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended,

More information

Micronic Mydata Q4, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 1 February, 2011

Micronic Mydata Q4, Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 1 February, 2011 Micronic Mydata Q4, 2010 Peter Uddfors, President and CEO Carl-Johan Blomberg, CFO 1 February, 2011 Agenda Brief company presentation Key figures Q4, 2010 Market information Outlook Page 2 Micronic Mydata

More information

Nokia Conference Call Second Quarter 2010 Financial Results

Nokia Conference Call Second Quarter 2010 Financial Results Nokia Conference Call Second Quarter 2010 Financial Results July 22, 2010 15.00 Helsinki time 8.00 New York time Olli-Pekka Kallasvuo CEO Timo Ihamuotila CFO Kristian Pullola Head of Treasury and Investor

More information

Q Results. Emirates Integrated Telecommunications Company PJSC May 2014

Q Results. Emirates Integrated Telecommunications Company PJSC May 2014 Q1 214 Results Emirates Integrated Telecommunications Company PJSC May 214 Disclaimer Emirates Integrated Telecommunications Company PJSC (hereafter du ) is a telecommunication services provider in the

More information

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future results that are subject to the safe harbor provisions of

More information

Seagate Technology Revenue: Driven by Product, Cloud Storage?

Seagate Technology Revenue: Driven by Product, Cloud Storage? Seagate Technology Revenue: Driven by Product, Cloud Storage? By Adam Rogers Apr 03, 2018. 02:24 PM Seagate Technology Stock since Fiscal 2Q18 Results Seagate stock has risen 4% since its fiscal 2Q18 results

More information

NEWS RELEASE SanDisk Corporation 951 SanDisk Drive Milpitas, CA Phone:

NEWS RELEASE SanDisk Corporation 951 SanDisk Drive Milpitas, CA Phone: NEWS RELEASE SanDisk Corporation 951 SanDisk Drive Milpitas, CA 95035-7932 Phone: 408-801-1000 SanDisk Announces Third Quarter 2015 Results MILPITAS, Calif., October 21, 2015 - SanDisk Corporation (NASDAQ:

More information

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000

Taiwan Semiconductor Manufacturing Company Ltd. Announces First Quarter Results for the Period Ended March 31, 2000 Page 1 FOR IMMEDIATE RELEASE CONTACT IN TAIWAN CONTACT IN NEW YORK Derek Tien or Julie Chan Mami Ogawa Finance Division TSMC Thomson Financial Investor Relations invest@tsmc.com.tw mami.ogawa@thomsonir.com

More information

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation

Mobile World Congress Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Claudine Mangano Director, Global Communications Intel Corporation Mobile World Congress 2015 Brian Krzanich Chief Executive Officer Intel Corporation 4.9B 2X CONNECTED CONNECTED

More information

Nokia Conference Call Fourth Quarter 2010 and Full Year 2010 Financial Results

Nokia Conference Call Fourth Quarter 2010 and Full Year 2010 Financial Results Nokia Conference Call Fourth Quarter 2010 and Full Year 2010 Financial Results January 27, 2011 15.00 Helsinki time 8.00 New York time Stephen Elop President and CEO Timo Ihamuotila CFO Matt Shimao Head

More information

Investor Presentation June 2013

Investor Presentation June 2013 Investor Presentation June 2013 Wolfgang Nickl Executive Vice President & CFO Bob Blair VP, Investor Relations SAFE HARBOR Forward-Looking Statements This presentation contains forward-looking statements

More information

Hitachi Announces 2018 Mid-term Management Plan

Hitachi Announces 2018 Mid-term Management Plan FOR IMMEDIATE RELEASE Contacts: Japan: Mickey Takeuchi U.K.: Yuki Maeda Hitachi, Ltd. Hitachi Europe Ltd. +81-3-5208-9324 +44-1628-585714 masayuki.takeuchi.cc@hitachi.com yuki.maeda@hitachi-eu.com Hitachi

More information

Deutsche Leveraged Finance Conference. Matt Steinfort, CFO

Deutsche Leveraged Finance Conference. Matt Steinfort, CFO Deutsche Leveraged Finance Conference Matt Steinfort, CFO October 4, 2017 Safe Harbor Information contained in this presentation that is not historical by nature constitutes forward-looking statements

More information

FIRST QUARTER REPORT

FIRST QUARTER REPORT FIRST QUARTER REPORT 2007 FIRST QUARTER REPORT HENRY STÉNSON Senior Vice President Communications 2007 Safe Harbor Statement This presentation contains forward looking statements. Such statements are based

More information

Nokia Conference Call First Quarter 2010 Financial Results

Nokia Conference Call First Quarter 2010 Financial Results Nokia Conference Call First Quarter 2010 Financial Results April 22, 2010 15.00 Helsinki time 8.00 New York time Olli-Pekka Kallasvuo CEO Timo Ihamuotila CFO Kristian Pullola Head of Treasury and Investor

More information

second quarter July 2014 Investor Relations E: T:

second quarter July 2014 Investor Relations  E: T: second quarter 2014 18 July 2014 key highlights 28m total subscribers 68% ported to DTN 37% smartphone penetration 39% data revenue growth >80% 2.1GHz pop coverage 36.4% EBITDA margin THB 1.58 per share

More information

2nd Quarter 2017 Earnings Results

2nd Quarter 2017 Earnings Results 2nd Quarter 2017 Earnings Results August 2, 2017 Forward-Looking Statements Except for historical and factual information, the matters set forth in this presentation and other of our oral or written statements

More information

SSIL 2013 Annual Results Announcement 20 Mar 2014 P. 1

SSIL 2013 Annual Results Announcement 20 Mar 2014 P. 1 P. 1 Disclaimer This presentation does not constitute an offer or solicitation to anyone in any jurisdiction in which such offer or solicitation is not authorized or to any person to whom it is unlawful

More information

Sony Ericsson starts 2007 with strong first quarter

Sony Ericsson starts 2007 with strong first quarter PRESS RELEASE April 20, 2007 Sony Ericsson starts 2007 with strong first quarter Q1 Highlights: Year-on-year volume & sales growth of 63% and 47% respectively Income before tax rose 139% year-on-year to

More information

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Nanya Technology WATERLAND Investment Forum 2017 Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Safe Harbor The information herein and the presentation made

More information

Forward-Looking and Cautionary Statements

Forward-Looking and Cautionary Statements Peter F. Volanakis President and Chief Operating Officer February 8, 28 Forward-Looking and Cautionary Statements Certain statements in this presentation constitute forwardlooking statements within the

More information

First Quarter 2017 Conference Call

First Quarter 2017 Conference Call First Quarter 2017 Conference Call May 11, 2017 Jim McCarley, CEO Brian Smith, CFO and Treasurer Asia The Americas Europe 1 2017 ExOne Safe Harbor Statement These slides may contain forward-looking statements

More information

Sony Ericsson continues to invest for future growth

Sony Ericsson continues to invest for future growth PRESS RELEASE April 23, 2008 Sony Ericsson continues to invest for future growth Q1 Highlights: Year-on-year volume growth of 2% Income before taxes at higher end of forecast R&D investment continues to

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

NOKIA FINANCIAL RESULTS Q3 / 2012

NOKIA FINANCIAL RESULTS Q3 / 2012 Nokia Internal Use Only NOKIA FINANCIAL RESULTS Q3 / 2012 Conference Call October 18, 2012 15.00 / Helsinki 08.00 / New York Stephen Elop / President & CEO Timo Ihamuotila / CFO Matt Shimao / Head of Investor

More information

Nokia Conference Call 1Q 2012 Financial Results

Nokia Conference Call 1Q 2012 Financial Results Nokia Internal Use Only Nokia Conference Call 1Q 2012 Financial Results April 19 th, 2012 15.00 Helsinki time 8.00 New York time Stephen Elop President & CEO Timo Ihamuotila CFO Matt Shimao Head of Investor

More information

Electrical Products Group Conference

Electrical Products Group Conference Electrical Products Group Conference Alexander M. Cutler Chairman and Chief Executive Officer May 21, 2013 Forward-looking statements and non-gaap financial information The information provided at our

More information

dtac FY January 2018 Investor Relations E: T:

dtac FY January 2018 Investor Relations E: T: dtac FY2017 30 January 2018 Investor Relations E: ir@dtac.co.th T: +662 202 8882 FY17 highlights 22.7m total subscribers 97.7% of sub base registered under DTN 72.8% smartphone penetration 50.5% penetration

More information

Nokia Conference Call Second Quarter 2005 Financial Results

Nokia Conference Call Second Quarter 2005 Financial Results Nokia Conference Call Second Quarter 2005 Financial Results Jorma Ollila Chairman and CEO Rick Simonson Executive Vice President and CFO Ulla James Vice President, Investor Relations July 21, 2005 14.30

More information

July Hexcel. All rights reserved.

July Hexcel. All rights reserved. July 2018 1 Risks, Uncertainties and Other Factors with Respect to Forward-Looking Statements Disclaimer Certain statements contained in this presentation constitute forward-looking statements within the

More information

MANAGEMENT DISCUSSION AND ANALYSIS SECOND QUARTER 2013 MD&A

MANAGEMENT DISCUSSION AND ANALYSIS SECOND QUARTER 2013 MD&A MD&A Q213 Highlights In Q213, total revenues developed healthily at 13.5% YoY and 2.7% QoQ on the back of strong service revenues and handset sales. Service revenues, rising 10.7% YoY and 1.2% QoQ, was

More information

F-Secure Corporation - Interim report Q2 2011

F-Secure Corporation - Interim report Q2 2011 F-Secure Corporation - Interim report Q2 2011 (Unaudited) July 27, 2011 Kimmo Alkio, President & CEO Protecting the irreplaceable f-secure.com Q2 highlights Operator channel performed strongly showing

More information

Capital Markets Day Rick Simonson Chief Financial Officer

Capital Markets Day Rick Simonson Chief Financial Officer Capital Markets Day 2007 Rick Simonson Chief Financial Officer 1 2007 Nokia Capital Markets Day 2007 Revenue growth and strong margins 2 2007 Nokia Capital Markets Day 2007 EPS growth outpacing sales and

More information

Revenues % % Gross operating margin % %

Revenues % % Gross operating margin % % Revenues 64.0 70.7 +10% 121.5 135.1 +11% Gross operating margin 34.0 34.8 +3% 63.5 69.5 +10% % of revenues 53.1% 49.3% 52.3% 51.5% Income from ordinary operations 7.8 8.7 +11% 16.1 16.7 +4% % of revenues

More information

Hitachi Announces Consolidated Financial Results for Fiscal 2017

Hitachi Announces Consolidated Financial Results for Fiscal 2017 FOR IMMEDIATE RELEASE Hitachi Announces Consolidated Financial Results for Fiscal 2017 Tokyo, April 27, 2018 --- Hitachi, Ltd. (TSE:6501) today announced its consolidated financial results for fiscal 2017,

More information

2016 Fourth Quarter Earnings. March 2017

2016 Fourth Quarter Earnings. March 2017 2016 Fourth Quarter Earnings March 2017 Disclaimer The information is provided for informational purposes only, and is not an offer to buy or sell or a solicitation of an offer to buy or sell any security

More information

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November 2007 Warren East Chief Executive Officer 1 Background Semiconductor Market ARM is a secular growth story with a 25+ year time horizon

More information

Nanya Technology DRAM Environment & Company Update

Nanya Technology DRAM Environment & Company Update Nanya Technology DRAM Environment & Company Update Presentation to Investors and Analysts 21 June 2016 Joseph Wu, AVP & Deputy Spokesman June 21, 2016 2016 Nanya Technology Corp. Safe Harbor The information

More information

DNA Plc January-June 2018 Half Year Financial Report

DNA Plc January-June 2018 Half Year Financial Report DNA Plc January-June 2018 Half Year Financial Report 19 July, 2018 Jukka Leinonen, CEO Timo Karppinen, CFO Forward looking statement This presentation contains, or may be deemed to contain, statements

More information

QUALCOMM Reports First Quarter Results Revenues $941 Million, $.65 EPS

QUALCOMM Reports First Quarter Results Revenues $941 Million, $.65 EPS Contact: Julie Cunningham Vice President, Investor Relations Ph 619-658-4224 Fax 619-651-9303 E-mail: juliec@qualcomm.com QUALCOMM Reports First Quarter Results Revenues $941 Million, $.65 EPS SAN DIEGO

More information

Interoute Acquisition Summary. February 26, 2018

Interoute Acquisition Summary. February 26, 2018 Interoute Acquisition Summary February 26, 2018 Disclaimer Forward-Looking Statements: This presentation contains forward-looking statements which are made pursuant to the safe harbor provisions of Section

More information

DNA Plc January-September 2018 Interim Report

DNA Plc January-September 2018 Interim Report DNA Plc January-September 2018 Interim Report 19 October, 2018 Jukka Leinonen, CEO Timo Karppinen, CFO Forward looking statement This presentation contains, or may be deemed to contain, statements that

More information

Company Overview May Silicon Motion Technology Corp. All rights reserved.

Company Overview May Silicon Motion Technology Corp. All rights reserved. Company Overview May 2017 2015 Silicon Motion Technology Corp. All rights reserved. Safe Harbor Statement This presentation contains "forward-looking statements" within the meaning of Section 27A of the

More information

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO UBS 42 nd Annual Global Media and Communications Conference December 8, 2014 Leigh Fox, CFO Safe Harbor This presentation and the documents incorporated by reference herein contain forward-looking statements

More information

Wi-Fi Perfected. Second Quarter 2017 Earnings Presentation August 7, Proprietary Software. Cloud Analytics. Semiconductors

Wi-Fi Perfected. Second Quarter 2017 Earnings Presentation August 7, Proprietary Software. Cloud Analytics. Semiconductors Wi-Fi Perfected Semiconductors Proprietary Software Cloud Analytics Second Quarter 2017 Earnings Presentation August 7, 2017 Safe Harbor and Non-GAAP Financial Measures This presentation contains forward-looking

More information

Samsung Electronics Announces Third Quarter 2017 Results

Samsung Electronics Announces Third Quarter 2017 Results Samsung Electronics Announces Third Quarter 2017 Results Registers net profit of KRW 11.19 trillion on sales of KRW 62.05 trillion 3Q consolidated operating profit reaches KRW 14.53 trillion SEOUL, Korea

More information

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017 Mycronic, Q1 2017 Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing 20 April, 2017 This is Mycronic 2017 40 years of experience in innovation 900 employees in ten

More information

Solomon Systech (International) Limited 2010 Annual Results Announcement. 24 March 2011 Hong Kong

Solomon Systech (International) Limited 2010 Annual Results Announcement. 24 March 2011 Hong Kong Solomon Systech (International) Limited 2010 Annual Results Announcement 24 March 2011 Hong Kong Table of contents Summary Financial Review Business Review Outlook P. 2 Summary P. 3 Results summary Unit

More information

4Q 2015 Earnings Conference Call. February 26, 2016

4Q 2015 Earnings Conference Call. February 26, 2016 4Q 2015 Earnings Conference Call February 26, 2016 Safe Harbor Statement This presentation includes forward-looking statements within the meaning of Section 27A of the Securities Act and Section 21E of

More information

Innovation Leadership in Expanding Markets

Innovation Leadership in Expanding Markets Innovation Leadership in Expanding Markets Gary Dickerson CEO and President SEPTEMBER 27, 2017 External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements,

More information

Apacer Technology Inc Investor Conference

Apacer Technology Inc Investor Conference Apacer Technology Inc. 2017 Investor Conference Stock Code:8271 August, 10th, 2017 Disclaimer The predictive information mentioned in the present briefing and as promulgated simultaneously is set up on

More information

Hitachi Completes Transfer of Hard Disk Drive Business to Western Digital

Hitachi Completes Transfer of Hard Disk Drive Business to Western Digital FOR IMMEDIATE RELEASE Contact: Japan: Hajime Kito Hitachi, Ltd. +81-3-5208-9323 hajime.kito.qy@hitachi.com Hitachi Completes Transfer of Hard Disk Drive Business to Western Digital Tokyo, March 9, 2012

More information

China and Global Semiconductor Industry Update and Outlook

China and Global Semiconductor Industry Update and Outlook China and Global Semiconductor Industry Update and Outlook Randy Bane Managing Director Corporate Marketing Applied Materials CSIS/US-Taiwan Business Council Conference May 27, 2004 Top 15 Worldwide Semiconductor

More information

Bank of America S-MID Cap Conference Boston, MA. March 26,2008

Bank of America S-MID Cap Conference Boston, MA. March 26,2008 Bank of America S-MID Cap Conference Boston, MA March 26,2008 Safe Harbor Statement Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995; certain matters in this presentation,

More information