Innovation Leadership in Expanding Markets

Size: px
Start display at page:

Download "Innovation Leadership in Expanding Markets"

Transcription

1 Innovation Leadership in Expanding Markets Gary Dickerson CEO and President SEPTEMBER 27, 2017 External Use

2 Forward-Looking Statements and Other Information This presentation contains forward-looking statements, including those regarding anticipated growth and trends in our businesses and markets, industry outlooks and anticipated levels of capital equipment spending, technology inflections, our strategies, our development of new products and technologies, the anticipated demand for our products, growth in our market share positions and opportunities, our business and financial performance and outlook, our financial plan and capital allocation, and other statements that are not historical facts. These statements and their underlying assumptions are subject to risks and uncertainties and are not guarantees of future performance. Factors that could cause actual results to differ materially from those expressed or implied by such statements include, without limitation: the level of demand for our products; global economic and industry conditions; consumer demand for electronic products; customers technology and capacity requirements; the introduction of new and innovative technologies, and the timing of technology inflections; our ability to develop, deliver and support new products, expand our markets and increase market share; the concentrated nature of our customer base; market acceptance of existing and newly developed products; our ability to obtain and protect intellectual property rights in key technologies; our ability to achieve the objectives of operational and strategic initiatives, and attract, motivate and retain key employees; the variability of operating expenses and results among products and segments, and our ability to accurately forecast future results, market conditions, customer requirements and business needs; and other risks and uncertainties described in our most recent Form 10-Q and other SEC filings. All forward-looking statements are based on management s estimates, projections and assumptions as of September 27, 2017, and we assume no obligation to update them. All information and data that speaks as of a future date are based on management s estimates, projections and assumptions, unless otherwise noted. This presentation also includes non-gaap adjusted financial measures, along with reconciliations to GAAP measures. Applied Materials, the Applied Materials logo, and other trademarks so designated as product names are trademarks of Applied Materials, Inc. Other names and brands are the property of third parties. 1

3 WHAT WE LL COVER TODAY MARKETS are strong and getting stronger Applied is in a better POSITION than ever Faster and more effective EXECUTION More expansive demand drivers Emerging trends (IoT, Big Data, AI, VR/AR) drive higher semi and display investments Technology inflections shifting value to materials engineering and Applied Broad capabilities and portfolio of businesses Innovation leadership strategy + investments Strong, passionate team Connecting capabilities across company Operating system for repeatable success Scalable growth platform 20

4 V I S I O N S T R AT E G Y Our innovations make possible the technology shaping the future Inflectionfocused innovation 21

5 Huge Shift in Value Towards Applied Value shifting to technology to and and electronics Semiconductor r content in electronics increasing Capital intensity increasing in logic, memory and display Materials-enabled technology inflections grow Applied s market Share gains enabled by new innovative platforms new platforms F 2013 to 2017F Semiconductor % of Electronics ~21% ~23% >25% Customers markets Display % of Electronics ~8% ~8% ~8% have grown from $430B to $525B WFE % of Semiconductor ~9% ~9.5% ~11% Equipment markets Display equipment % of Display ~6% ~7.5% ~14% Applied % of Electronics ~0.5% ~0.7% ~1% have grown from $36B to >$60B Semiconductor and WFE percent of Electronics based on Gartner Display and Display equipment percent of Electronics Applied estimates based on IHS and Gartner All 2017 forecasts based on Applied estimates Applied revenue as percent of electronics based on Gartner and Applied estimates 26

6 Market Evolution Layers of Demand Drivers A.I. + Big Data Era www Mobile + Social Media Era PC + Internet Era Avg. WFE $25B Avg. WFE $32B Avg. WFE $45B Gartner Gartner. Average WFE based on Applied estimates. 27

7 Market Evolution Layers of Demand Drivers www PC + Internet Era What s happening NOW Mobile + Social Media Era A.I. + Big Data Era $90B WFE* Avg. WFE $25B Avg. WFE $32B Avg. WFE $45B Gartner Gartner. Average WFE based on Applied estimates. * WFE based on Applied estimates 28

8 Today 5 Major Drivers of Applied s Growth 3D NAND Foundry Patterning Display China DEMAND: Increasing content at edge + HDD to SDD inflection in cloud TECHNOLOGY: Scaling is material-enabled DEMAND: Mobile + HPC (leading-edge) + IoT (trailing geometries) TECHNOLOGY: Power and performance enabled by our leadership BUs RESOLUTION = Traditional multi-patterning + EUV PLACEMENT = Requires innovative materials-enabled patterning solutions DEMAND: Mobile OLED + large format TVs driving Gen 10.5 TECHNOLOGY: Huge increase in complexity driving capital intensity DEMAND: Adding to Semi and Display investment TECHNOLOGY: Focus areas are IoT, memory, LCD and OLED 29

9 Healthy Market Dynamics in Memory PREMIUM SMARTPHONE 1 Avg. GB / Unit HDD to SSD TIPPING POINTS 2 Total Cost of Ownership $ NAND WFE SCENARIOS 3 WFE $B +140% k HDD costs 10k HDD costs SSD costs SSD crossover point with 10k HDD SSD crossover point with 15k HDD % bit demand growth 40% bit demand growth F 18F F 20F 22F E 18F 19F 20F 21F NAND content growing to support increased functionality (dual cameras, VR) SSD crossover point with 10k HDD this year 15k + 10k drives = ~35% of enterprise HDD market NAND market outlook = strength + upside 1) 2015 and 2016 based on GfK / Gartner, 2017 and 2018 based on Applied estimates. 2) Based on Applied estimates. 3) Applied estimates based on VLSI Research 30

10 3D NAND Scaling Roadmap + MORE PAIRS MULTI-TIERS VERTICAL SCALING LATERAL SCALING NODE (PAIR) STACK HEIGHT (µm) PAIR THICKNESS (nm) KEY STRUCTURE INFLECTIONS / 36 ~2.5 ~ / 72 ~5 ~ >90 ~7 50~60 2 Tier Staircase Optimization CMOS Under Array, Cell Layout intensification 2021 >140 >9 45~50 >2 Tier, New Materials 4 Levers to Scale, All Materials-Enabled Samsung states good 5 years of revisions with corresponding increases in speed and capacity PC PERSPECTIVE, FLASH MEMORY

11 IMPLICATIONS DRIVERS FOUNDRY DEMAND DRIVERS TRAILING GEOMETRIES LEADING-EDGE FUTURE NODES IOT = Billions of units CMOS image sensors = large, growing market Increasing content in smartphones / edge High performance computing + accelerators for A.I. / machine learning HPC / A.I. chips as big as they can make them ~40% of total foundry investment this year in 20nm and above Investments in capacity and technology 7nm node could be largest in history 7nm is 2x capital intensity of 28nm Requires innovative transistor and interconnect solutions NVIDIA TESLA V Billion transistors >100 Billion vias 815mm 2 die ~10 times fewer chips per wafer vs. smartphone processor and very challenging to yield 32

12 PATTERNING IN LOGIC / FOUNDRY RESOLUTION create smallest feature Addressed by advanced multi-patterning (80% of steps) + EUV (20% of steps) PLACEMENT put features where you want them Primarily addressed by innovative materialsenabled patterning (not EUV) Scaling is not, at this point in time, really limited by resolution. It's limited by our ability to put features wherever we want them Yan Borodovsky, INTEL FELLOW Patterning market to grow to >$4B + Applied to gain 15pts of share by

13 2.94m Multiple Waves of Growth in Display FUTURE DISPLAY Foldable OLED OLED TV Natural 3-D and more MOBILE OLED Curved form factors Needed for VR / AR LCD Scaling and resolution in TV Resolution and power in mobile Tracking 13 Gen 10.5 TV factories 65 Expect penetration of mobile OLED to increase from <20% today to >55% by m 34

14 Multiple Waves of Growth in Display FUTURE DISPLAY Foldable OLED OLED TV Natural 3-D and more MOBILE OLED Curved form factors Needed for VR / AR LCD Scaling and resolution in TV Resolution and power in mobile Display Equipment Market ~$8B per year >$18B per year KEY TRENDS: Substrate scaling to substrate scaling + new technology OLED complexity driving capital intensity based on Applied estimates Applied forecast 35

15 Increasing Complexity = Rising Capital Intensity WFE ($B) Greenfield 100k WSPM NAND DRAM FOUNDRY Equip ($B) Gen6 DISPLAY 100% ~3x Capital Intensity of Memory 60% 40% 425% Planar 3D (64L) 25nm 14-16nm 28nm 7nm LCD OLED Based on Applied estimates. WSPM = Wafer Starts Per Month, Display capital intensity model based on Gen 6 factory with 100k / month capacity 36

16 Sustainably Stronger Markets Broader set of demand drivers Capital intensity increasing, no 450mm WAFER FAB EQUIPMENT: $45B New Normal $28B $45B NOT SERVED Technology roadmap shifting to materials engineering, expanding Applied s opportunity 55% F 2020F 64% SERVED MARKET DISPLAY EQUIPMENT: Total Market 2x, Served Market 3x, Total Opportunity 6x Shifting from substrate scaling to substrate scaling + new technology OLED significantly more complex than LCD = increasing capital intensity Available market expanding with new products $8B 15% >$18B F 2020F NOT SERVED >40% SERVED MARKET 37

17 Sustainably Stronger Markets Broader set of demand drivers Capital intensity increasing, no 450mm WAFER FAB EQUIPMENT: $45B New Normal $28B $45B NOT SERVED Technology roadmap shifting to materials engineering, expanding Applied s opportunity 55% F 2020F 64% SERVED MARKET DISPLAY EQUIPMENT: Total Market 2x, Served Market 3x, Total Opportunity 6x Shifting from substrate scaling to substrate scaling + new technology OLED significantly more complex than LCD = increasing capital intensity Available market expanding with new products Display equipment market, and 2017 and 2020 forecasts based on Applied estimates. $8B 15% >$18B F 2020F NOT SERVED >40% SERVED MARKET 38

18 Market Evolution Layers of Demand Drivers Entering a NEW ERA of growth A.I. + Big Data Era www PC + Internet Era Mobile + Social Media Era A.I. related growth will boost global GDP by $16T by 2030 The Economist / PwC Data is to this century what oil was to the last one: a driver of growth and change The Economist

19 Customers Voice on Data Explosion SANJAY MEHROTRA MICRON SH LEE SK HYNIX JIM THOMPSON QUALCOMM 40

20 CONNECTIVITY Enabling the A.I. / Big Data Era DATA GENERATION IoT and Industry 4.0 driving an EXPLOSION OF DATA DATA STORAGE More data needs to be processed and stored than ever before Storage alone is not sufficient or economical COMPUTE New compute models to turn data into $$$ New compute architectures to process data at the edge and in the cloud 42

21 SMART BUILDINGS 55M GB/day SMART FACTORIES 50M GB/day PUBLIC SAFETY SYSTEMS 50M GB/day SMART VEHICLES 40M GB/day SMART AIRPLANES 4M GB/day SOCIAL MEDIA + OTHER 2M GB/day EXPLOSION OF DATA GENERATION A city of 1M people will generate 200M GB of data per day by 2020 Applied s model based on forecasts published by Cisco, Intel, Western Digital 43

22 SMART BUILDINGS 55M GB/day SMART FACTORIES 50M GB/day SMART VEHICLES IN THIS MODEL 40M Only 1% GB/day of data is PUBLIC SAFETY SYSTEMS 50M GB/day SMART AIRPLANES generated by humans 4M GB/day SOCIAL MEDIA + OTHER 2M GB/day IMPLICATION: Forecasts based on historical trends may understate data generation 44

23 NAND Shipments (EB) In Current Compute Model y = x R² = Strong empirical relationship between data generation and NAND shipments Same relationship is true for DRAM Incremental Data Generation (EB) Source: Cisco VNI, Cisco, Gartner, Factset, Applied Materials internal analysis 2006 to 2016 data from Cisco and Gartner to 2020 projections from Cisco for data generation (VNI IP traffic), and industry average estimates for DRAM and NAND content shipments 45

24 NAND Shipments (EB) A.I. / Big Data Era Needs New Compute Model 14,000 12,000 10,000 8, scenario with 1% adoption of L4 smart car 4TB PER CAR PER DAY 25x MORE NAND NEEDED (not economical) 6,000 4,000 2, forecast without new categories New innovations in computing needed to manage huge increase in data generation ,000 1,500 2,000 2,500 Incremental Data Generation (EB) 46

25 A.I. Driving New Data Center Compute Model ENTERPRISE SERVER BIG DATA SERVER A.I. SERVER GPU BASED A.I. SERVER CUSTOM ASICS e.g. Applied Data Center e.g. Facebook In-memory DB e.g. NVIDIA DGX-1 e.g. Google TPU v2 SPEC Intel Xeon E5 (176 GB DRAM) Intel Xeon E5 (256 GB DRAM) 8x Tesla V100 (128 GB DRAM) + Host CPU (512 GB DRAM) Custom ASIC (16GB HBM) + Host CPU (256 GB DRAM) LOGIC AREA 912 mm mm 2 7,432 mm 2 2,232 mm 2 MEMORY AREA 7,392 mm 2 10,752 mm 2 32,512 mm 2 16,256 mm 2 TOTAL SILICON 8,304 mm 2 11,664 mm 2 39,944 mm 2 18,488 mm 2 Applied estimates based on Google, Microsoft, Facebook, NVIDIA publications. 47

26 A.I. Driving New Data Center Compute Model ENTERPRISE SERVER BIG DATA SERVER A.I. SERVER GPU BASED A.I. SERVER CUSTOM ASICS e.g. Applied Data Center e.g. Facebook In-memory DB e.g. NVIDIA DGX-1 e.g. Google TPU v2 SPEC Intel Xeon E5 (176 GB DRAM) Intel Xeon E5 (256 GB DRAM) 8x 8x Tesla V100 (128 GB DRAM) + Host CPU (512 GB DRAM) Custom ASIC (16GB HBM) + Host CPU (256 GB DRAM) LOGIC AREA 912 mm mm 2 7,432 mm 2 2,232 mm 2 MEMORY AREA 7,392 mm 2 10,752 mm 2 32,512 mm 2 16,256 mm 2 4x TOTAL SILICON 8,304 mm 2 11,664 mm 2 39,944 mm 2 18,488 mm 2 A.I. server requires 4x Memory and 8x Logic by area Logic is 3x more capital intensive than Memory Applied estimates based on Google, Microsoft, Facebook, NVIDIA publications. 48

27 Customers Voice on A.I. SANJAY MEHROTRA MICRON MIRIAM DANIEL AMAZON 49

28 DATA EXPLOSION DRIVING INNOVATION IN THE CLOUD AND AT THE EDGE CLOUD EDGE New compute models for A.I. and Big Data driving data center inflections Architectural war ongoing (CPUs, GPUs, customized ASICs, e.g. TPU) all strong drivers for foundry / logic Foundry / logic performance roadmap 30 Billion edge devices by 2020 * Growth of A.I. at the edge applications e.g. security, smart assistance Edge requires functionality and power Foundry volume + power efficiency Enabled by new materials and structures *Source: IHS 51

29 Selective Processes Enable customers to build chips in new ways FY17E FY20F ANALOGY: Removing a single weed without damaging adjacent grass or leaving residue ANALOGY: 3D Printing putting material only where you want it (materials-enabled not litho) Selective Removal Selective Deposition #1 position $200M $450M Selective Epi Unique $550M $800M Selective Metals Unique $100M $200M *New products* Unique >$100M MORE THAN $700M INCREMENTAL OPPORTUNITY BY 2020 New manufacturing inflection made possible by Applied 52

30 WHAT WE LL COVER TODAY MARKETS are strong and getting stronger Applied is in a better POSITION than ever Faster and more effective EXECUTION More expansive demand drivers Emerging trends (IoT, Big Data, AI, VR/AR) drive higher semi and display investments Technology inflections shifting value to materials engineering and Applied Broad capabilities and portfolio of businesses Innovation leadership strategy + investments Strong, passionate team Connecting capabilities across company Operating system for repeatable success Scalable growth platform 53

31 Broadest Portfolio of Businesses and Technologies Metal Deposition Planarization Etch Inspection CVD CVD PVD Yield Parts Software Thermal Processing Implant Epitaxy Selective Etch Metrology ALD ebeam New Service Upgrades LEADERSHIP SEMI BUSINESSES HIGH-GROWTH SEMI BUSINESSES DISPLAY SERVICES High share, highly differentiated Fastest growing in fastest growing markets Leadership and growth businesses 15% CAGR *, highly stable FOCUS: Power and Performance FOCUS: Cost-effective scaling FOCUS: OLED, Flex, Cost FOCUS: Yield, Output, Cost $5.1B 2017E $4.4B 2017E $1.9B 2017E $3B 2017E +$1B next 3 years +$2B next 3 years +$1.6B next 3 years +$1.5B next 3 years * FY2017E FY2020F. FY2017E and revenue growth forecast based on Applied estimates 54

32 Breadth Accelerates Innovation A B C D E Metal Deposition Planarization Etch Inspection CVD CVD PVD Yield Parts Software Thermal Processing Implant Epitaxy Selective Etch Metrology ALD ebeam New Service Upgrades LEADERSHIP SEMI BUSINESSES HIGH GROWTH SEMI BUSINESSES DISPLAY SERVICES Examples A B C D E INTERCONNECT: Parallel development of deposition and removal Cobalt and CMP NEXT GENERATION TRANSISTOR: Epi + etch + ebeam ADVANCED PATTERNING: Innovative Films + Innovative Etch + ebeam NEW MARKETS: Technology transfer from semi to display CVD and ebeam SERVICES: Optimize ramp times, performance, yield, output and costs 55

33 STRATEGY GREATER INVESTMENT IN INNOVATION Inflection-focused innovation leadership FY13 R&D FY17E R&D UPDATES 66% UPDATES 50% NEW 34% $1.2B NEW 50% $1.8B ACCELERATING INNOVATION and PRODUCT SUCCESS RATE 45% of revenue from products released since 2013 * 86% win rate for largest opportunities in % of development positions converted to volume buys in 2017 R&D is a non-gaap adjusted measure, excludes Solar. * Based on Semiconductor and Display revenue 56

34 Operating System: Faster, More Effective Execution See inflections early Identify customers High Value Problems Develop Differentiated Valuable Sustainable products Ensure customer success Generate residual value CUSTOMER ENGAGEMENT Early, deep collaboration Increasing customers customer engagements PRODUCT DEVELOPMENT ENGINE Define winning products Develop and release products faster, better and at lower cost Trained >8,500 people CUSTOMER SUPPORT Device Performance, Yield Services and Parts Output, yield and cost optimization SCALABLE MODEL: Added >3,000 engineers in past 3 years MAYDAN TECHNOLOGY CENTER (MTC) 57

35 Maydan Technology Center Innovation Speed Advantage Other Equipment Companies Industry Consortia Semiconductor Manufacturers Full Device Array module structures Logic and memory electrical structures Integration: Transistor, interconnect, patterning Innovative materials eng + ebeam products Innovative materials R&D ACCLERATING NEW CHIPS = VERY HIGH $ VALUE Broadest portfolio of enabling technology and capabilities under one roof Innovative materials engineering solutions enable new ways to build chips Increasing number of leading logic and memory customers stationed at MTC 59

36 Strongest Product Pipeline Ever NEW PRODUCTS ENABLED Served market share gains with leadership products Fastest growing company in fastest growing areas of WFE (etch + CVD) NEW PRODUCTS FOCUS ON Power and performance: Advanced transistor and interconnect Cost-effective scaling: 3D NAND scaling + Patterning (resolution, placement) ebeam metrology and inspection *NEW* Selective processes +3.7 points of WFE share +5 points of WFE share 2012 to 2016 based on Gartner, 2017 to 2020 forecast based on Applied estimates

37 HUGE VALUE SHIFTING TO APPLIED 2x Increase to 1% of Electronics MARKETS are strong and getting stronger Applied is in a better POSITION than ever Faster and more effective EXECUTION More expansive demand drivers Emerging trends (IoT, Big Data, AI, VR/AR) drive higher semi and display investments Technology inflections shifting value to materials engineering and Applied Broad capabilities and portfolio of businesses Innovation leadership strategy + investments Strong, passionate team Connecting capabilities across company Operating system for repeatable success Scalable growth platform 61

38

39 (In millions) RD&E expenses (GAAP basis) (*) APPLIED MATERIALS, INC. UNAUDITED RECONCILIATION OF GAAP TO NON-GAAP ADJUSTED RESEARCH, DEVELOPMENT AND ENGINEERING (RD&E) EXPENSES Twelve Months Ended October 27, 2013 RD&E expenses (GAAP basis) $1,246 Certain items associated with acquisitions (6) Acquisition integration costs (2) Non-GAAP adjusted RD&E expenses $1,238 (*) Amount excludes expense related to Solar business of $74M for the twelve months ended October 27, Support for page 7 of AD slides - used to calculate R&D % of OPEX RND expense excluding Solar's business of $74M and $98M for FY13 and FY12, respectively. External Use

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO

B. Riley & Co. 16 th Annual Investor Conference. Jeffrey Andreson, CFO B. Riley & Co. 16 th Annual Investor Conference Jeffrey Andreson, CFO May 2015 Forward-Looking Statements This communication contains forward-looking statements within the meaning of the safe harbor provisions

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO November 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Enabling the A.I. Era: From Materials to Systems

Enabling the A.I. Era: From Materials to Systems Enabling the A.I. Era: From Materials to Systems Sundeep Bajikar Head of Market Intelligence, Applied Materials New Street Research Conference May 30, 2018 External Use Key Message PART 1 PART 2 A.I. *

More information

I N V E S T O R S P R E S E N T A T I O N

I N V E S T O R S P R E S E N T A T I O N I N V E S T O R S P R E S E N T A T I O N Rafi Amit, CEO Moshe Eisenberg, CFO April 2018 SAFE HARBOR The information presented today contains forward-looking statements that relate to anticipated future

More information

Samsung Electronics Announces Third Quarter 2017 Results

Samsung Electronics Announces Third Quarter 2017 Results Samsung Electronics Announces Third Quarter 2017 Results Registers net profit of KRW 11.19 trillion on sales of KRW 62.05 trillion 3Q consolidated operating profit reaches KRW 14.53 trillion SEOUL, Korea

More information

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve

Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve Disclosures Statements in this presentation that refer to Business Outlook, future plans and expectations are forward-looking statements that involve a number of risks and uncertainties. Words such as

More information

Future Matters US Disclosure

Future Matters US Disclosure Disclaimer This presentation has been prepared by Samsung Electronics Co., Ltd. (the "Company"). It does not purport to contain all the information that a prospective investor may require in connection

More information

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY

BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY BRIDGING THE GLOBE WITH INNOVATIVE TECHNOLOGY Semiconductor Link Processing & Ultra-Thin Semi Wafer Dicing Louis Vintro VP & General Manager, Semiconductor Products Division Semiconductor Link Processing

More information

Samsung Electronics Announces First Quarter Results

Samsung Electronics Announces First Quarter Results Samsung Electronics Announces First Quarter Results Registers net profit of KRW 7.68 trillion on sales of KRW 50.55 trillion 1Q consolidated operating profit reaches KRW 9.90 trillion SEOUL, Korea April

More information

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014

Market Update. Peter Jenkins Vice President, Marketing. 24 November 2014 Market Update Peter Jenkins Vice President, Marketing 24 Forward looking statements This document contains statements relating to certain projections and business trends that are forward-looking, including

More information

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation

Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Emerging IC Packaging Platforms for ICT Systems - MEPTEC, IMAPS and SEMI Bay Area Luncheon Presentation Dr. Li Li Distinguished Engineer June 28, 2016 Outline Evolution of Internet The Promise of Internet

More information

Signal Processing IP for a Smarter, Connected World. May 2017

Signal Processing IP for a Smarter, Connected World. May 2017 Signal Processing IP for a Smarter, Connected World May 2017 Forward Looking Statements This presentation contains forward-looking statements that involve risks and uncertainties, as well as assumptions

More information

2015 SEMICON West Analyst Briefing

2015 SEMICON West Analyst Briefing 2015 SEMICON West Analyst Briefing Rick Wallace President and Chief Executive Officer Bren Higgins Chief Financial Officer Safe Harbor This presentation contains certain forward-looking statements within

More information

Archive 2017 BiTS Workshop- Image: Easyturn/iStock

Archive 2017 BiTS Workshop- Image: Easyturn/iStock Archive September 6-7, 2017 InterContinental Shanghai Pudong Hotel - Shanghai, China Archive 2017 BiTS Workshop- Image: Easyturn/iStock September 6-7, 2017 Archive COPYRIGHT NOTICE This multimedia file

More information

Business of NAND: Trends, Forecasts & Challenges

Business of NAND: Trends, Forecasts & Challenges Business of NAND: Trends, Forecasts & Challenges Rahul Advani, Microsemi & Mark Webb, MKW Ventures Key Messages Ramp of 3D NAND will be slower than most people think And uneven by vendor NAND roadmaps

More information

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth

Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth Semiconductor Manufacturing Market Outlook: Fundamentals Point to Growth 3Q03 Semiconductor and Electronics Manufacturing Forecast San Jose, California 8 July 2003 Presenters: Klaus-Dieter Rinnen Mary

More information

Welcome to CES. Rick Bergman, President & CEO

Welcome to CES. Rick Bergman, President & CEO Welcome to CES Rick Bergman, President & CEO Disclosure This presentation contains forward-looking statements that are subject to the safe harbors created under the Securities Act of 1933, as amended,

More information

Ushering in the 3D Memory Era with V- NAND

Ushering in the 3D Memory Era with V- NAND Ushering in the 3D Memory Era with V- NAND Aug. 2013 Jim Elliott (Vice President, Memory Marketing) E.S. Jung (EVP/GM, Semiconductor R&D Center) Flash Memory Summit 2013 Santa Clara, CA 1 Legal Disclaimer

More information

Semiconductor Market Outlook. Analog Semiconductor Leaders' Forum October 2011

Semiconductor Market Outlook. Analog Semiconductor Leaders' Forum October 2011 Semiconductor Market Outlook Analog Semiconductor Leaders' Forum October 2011 Q3 2011 Update Economic Outlook Semiconductor End Markets Semiconductor Forecast MAP Model Data: Analog, Power Management Foundries

More information

ARM Holdings plc Preliminary Results for Q and FY February 10, 2016

ARM Holdings plc Preliminary Results for Q and FY February 10, 2016 ARM Holdings plc Preliminary Results for Q4 2015 and FY 2015 February 10, 2016 Welcome Stuart Chambers Chairman Cautionary statement concerning forward-looking statements This presentation contains forward-looking

More information

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts

SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMI's Outlook - Fab Investments, Equipment and Materials Forecasts SEMICON Korea Press Conference January 2018 Dan Tracy, Sr. Director SEMI Industry Research & Statistics Agenda 2017 Wrap Up 2018 Semiconductor

More information

FY2011 Financial Forecast and Basic Management Policy

FY2011 Financial Forecast and Basic Management Policy FY2011 Financial Forecast and Basic Management Policy Hiroshi Takenaka, President & CEO May 12, 2010 25 FY2010 Review 26 FY2010 Initial Financial Estimates vs. Results (Billions of yen) Net sales Initial

More information

Guardian Technology Platform Webcast

Guardian Technology Platform Webcast John Scaramuzzo Guardian Technology Platform Webcast Senior Vice President & General Manager, Enterprise Storage Solutions December 12, 2013 1 Forward-Looking Statements During our meeting today we may

More information

WINNING IN A MULTICLOUD WORLD

WINNING IN A MULTICLOUD WORLD WINNING IN A MULTICLOUD WORLD Rami Rahim CEO, Juniper Networks 2 CAUTIONARY STATEMENTS Forward-Looking Statements. This presentation contains forward-looking statements within the meaning of Section 27A

More information

Samsung System LSI Business

Samsung System LSI Business Samsung System LSI Business NS (Stephen) Woo, Ph.D. President & GM of System LSI Samsung Electronics 0/32 Disclaimer The materials in this report include forward-looking statements which can generally

More information

Credit Suisse European Technology Conference

Credit Suisse European Technology Conference Credit Suisse European Technology Conference Franki D Hoore Director European Investor Relations May 12, 2010 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform

More information

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011

SanDisk Overview. Mike Chenery Senior Fellow. 5th Annual Needham HDD & Memory Conference Boston, MA. November 3, 2011 SanDisk Overview Mike Chenery Senior Fellow 5th Annual Needham HDD & Memory Conference Boston, MA November 3, 2011 Forward-looking Statement During our meeting today we will be making forward-looking statements.

More information

Fab Investment Outlook and The Surge of China. Shanshan Du Senior Analyst SEMI China June 2018

Fab Investment Outlook and The Surge of China. Shanshan Du Senior Analyst SEMI China June 2018 Fab Investment Outlook and The Surge of China Shanshan Du Senior Analyst SEMI China June 2018 Outline 2018 Outlook and Drivers Fab Investment Outlook Record spending The Surge of China New fab projects

More information

Investor Presentation October 2018

Investor Presentation October 2018 Investor Presentation October 2018 Safe Harbor This presentation contains forward-looking statements concerning Atomera Incorporated ( Atomera, the Company, we, us, and our ). The words believe, may, will,

More information

Flash Technology: Annual Update

Flash Technology: Annual Update Flash Technology: Annual Update Jim Handy OBJECTIVE ANALYSIS OBJECTIVE ANALYSIS Profound Analysts Reports & Services Custom Consulting Objective Analysis Semiconductor Forecast Accuracy Year Forecast Actual

More information

SanDisk Enterprise Storage Solutions

SanDisk Enterprise Storage Solutions SanDisk Enterprise Storage Solutions Mike Chenery Senior Fellow Deutsche Bank Technology Conference Las Vegas, Nevada September 14, 2011 Forward-looking Statement During our meeting today we will be making

More information

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214

Fab Investment Outlook Foundry, Memory and LED. Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214 Fab Investment Outlook Foundry, Memory and LED Clark Tseng, Sr. Research Manager, SEMI Vietnam Semiconductor Strategy Summit September 16-17, 20214 Outline Fab Investment & Capacity Trend Memory and Foundry

More information

Forward-Looking and Cautionary Statements

Forward-Looking and Cautionary Statements Peter F. Volanakis President and Chief Operating Officer February 8, 28 Forward-Looking and Cautionary Statements Certain statements in this presentation constitute forwardlooking statements within the

More information

Signs of Intelligent Life: AI Simplifies IoT

Signs of Intelligent Life: AI Simplifies IoT Signs of Intelligent Life: AI Simplifies IoT JEDEC Mobile & IOT Forum Stephen Lum Samsung Semiconductor, Inc. Copyright 2018 APPLICATIONS DRIVE CHANGES IN ARCHITECTURES x86 Processors Apps Processors FPGA

More information

Credit Suisse 18 th Annual Technology Conference

Credit Suisse 18 th Annual Technology Conference Credit Suisse 18 th Annual Technology Conference Alper Ilkbahar, Vice President, Marketing SanDisk Enterprise Storage Solutions December 3, 2014 1 Forward-Looking Statements During our meeting today we

More information

May High Performance Data-Path Processing Solutions

May High Performance Data-Path Processing Solutions May 2015 High Performance Data-Path Processing Solutions 1 Safe Harbor Statement This presentation contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as

More information

Samsung Electronics Announces Fourth Quarter & FY 2017 Results

Samsung Electronics Announces Fourth Quarter & FY 2017 Results Samsung Electronics Announces Fourth Quarter & FY 2017 Results Registers 4Q net profit of KRW 12.26 trillion on sales of KRW 65.98 trillion 4Q consolidated operating profit reaches KRW 15.15 trillion SEOUL,

More information

Virident Acquisition. September 9, WESTERN DIGITAL CORPORATION. ALL RIGHTS RESERVED..

Virident Acquisition. September 9, WESTERN DIGITAL CORPORATION. ALL RIGHTS RESERVED.. Virident Acquisition September 9, 2013 SAFE HARBOR Forward-Looking Statements This presentation contains forward-looking statements within the meaning of the Private Securities Litigation Reform Act of

More information

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017

Mycronic, Q Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing. 20 April, 2017 Mycronic, Q1 2017 Lena Olving, President and CEO Torbjörn Wingårdh, CFO Clemens Jargon, VP Global Dispensing 20 April, 2017 This is Mycronic 2017 40 years of experience in innovation 900 employees in ten

More information

B. Riley & Co. Annual Investor Conference. May 25, 2017

B. Riley & Co. Annual Investor Conference. May 25, 2017 B. Riley & Co. Annual Investor Conference May 25, 2017 Forward Looking Statement and Financial Presentation This presentation contains forward-looking statements within the meaning of Section 27A of the

More information

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015

Introducing the FX-14 ASIC Design System. Embargoed until November 10, 2015 Introducing the FX-14 ASIC Design System Embargoed until November 10, 2015 Market Forces Are Driving Need for a New Breed of Semiconductor By 2019: Bandwidth Roughly one million minutes of video will cross

More information

FBR Capital Markets 12 th Annual Spring Investor Conference

FBR Capital Markets 12 th Annual Spring Investor Conference FBR Capital Markets 12 th Annual Spring Investor Conference ASML continues to execute its leadership strategy Craig De Young VP Investor Relations and Corporate Communications New York City - May 28-29,

More information

Electronics & Energy Business

Electronics & Energy Business Electronics & Energy Business Jim Bauman Executive Vice President 2017 Outlook Meeting December 13, 2016 1 > Agenda Who we are Improving our business Advancing a connected world 2 3M Vision 3M Technology

More information

Investor Presentation June 2013

Investor Presentation June 2013 Investor Presentation June 2013 Wolfgang Nickl Executive Vice President & CFO Bob Blair VP, Investor Relations SAFE HARBOR Forward-Looking Statements This presentation contains forward-looking statements

More information

New Approach to Unstructured Data

New Approach to Unstructured Data Innovations in All-Flash Storage Deliver a New Approach to Unstructured Data Table of Contents Developing a new approach to unstructured data...2 Designing a new storage architecture...2 Understanding

More information

Kaufman Brothers 13 th Annual Investor Conference

Kaufman Brothers 13 th Annual Investor Conference Kaufman Brothers 13 th Annual Investor Conference Craig DeYoung, VP Investor Relations New York, New York September 14, 21 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities

More information

Investor Overview 2018

Investor Overview 2018 Investor Overview 2018 1 SAFE HARBOR This presentation contains forward-looking statements that involve risks, uncertainties and assumptions. If the risks or uncertainties ever materialize or the assumptions

More information

Corporate Update. Enabling The Use of Data January Mellanox Technologies

Corporate Update. Enabling The Use of Data January Mellanox Technologies Corporate Update Enabling The Use of Data January 2018 Safe Harbor Statement These slides and the accompanying oral presentation contain forward-looking statements and information. The use of words such

More information

Company Overview May Silicon Motion Technology Corp. All rights reserved.

Company Overview May Silicon Motion Technology Corp. All rights reserved. Company Overview May 2017 2015 Silicon Motion Technology Corp. All rights reserved. Safe Harbor Statement This presentation contains "forward-looking statements" within the meaning of Section 27A of the

More information

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow

Market Perspective & Strategy. Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Market Perspective & Strategy Kinam Kim, Ph.D CEO, Samsung Display US NAE Foreign Associate, IEEE Fellow Heading Disclaimer The materials in this report include forward-looking statements which can generally

More information

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND

A Ten Year ( ) Storage Landscape LTO Tape Media, HDD, NAND R. Fontana, G. Decad IBM Systems May 15, 2018 A Ten Year (2008-2017) Storage Landscape LTO Tape Media,, 10 Year Storage Landscape 1 A Ten Year (2008-2017) Storage Landscape: LTO Tape Media,, Topics Data

More information

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future

This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future This presentation and the documents incorporated by reference herein contain forward-looking statements regarding future events and our future results that are subject to the safe harbor provisions of

More information

Volterra Semiconductor

Volterra Semiconductor Silicon Power Solutions Volterra Semiconductor Jefferies 2013 Conference May 7, 2013 Mike Burns, CFO Nasdaq: VLTR Safe Harbor Statements This presentation contains forward-looking statements based on current

More information

TABLE OF CONTENTS III. Section 1. Executive Summary

TABLE OF CONTENTS III. Section 1. Executive Summary Section 1. Executive Summary... 1-1 Section 2. Global IC Industry Outlook and Cycles... 2-1 IC Insights' Forecast Methodology... 2-1 Overview... 2-1 Worldwide GDP... 2-1 Electronic System Sales... 2-2

More information

Wi-Fi Perfected. Second Quarter 2017 Earnings Presentation August 7, Proprietary Software. Cloud Analytics. Semiconductors

Wi-Fi Perfected. Second Quarter 2017 Earnings Presentation August 7, Proprietary Software. Cloud Analytics. Semiconductors Wi-Fi Perfected Semiconductors Proprietary Software Cloud Analytics Second Quarter 2017 Earnings Presentation August 7, 2017 Safe Harbor and Non-GAAP Financial Measures This presentation contains forward-looking

More information

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group

Mobile, Multimedia & Communications. Tommi Uhari Executive Vice President MMC Group Mobile, Multimedia & Communications Tommi Uhari Executive Vice President MMC Group 2007 Accomplishments Leading positions* # 1 in Analog/mixed signal # 1 in 3G RF # 3 in Wireless Focus on high-growth segments

More information

An Executive View of Trends and Technologies in Electronics

An Executive View of Trends and Technologies in Electronics An Executive View of Trends and Technologies in Electronics All rights reserved. Safe Harbor Statement and Regulation G Safe Harbor Statement The following discussion contains forward looking statements,

More information

Bank of America S-MID Cap Conference Boston, MA. March 26,2008

Bank of America S-MID Cap Conference Boston, MA. March 26,2008 Bank of America S-MID Cap Conference Boston, MA March 26,2008 Safe Harbor Statement Safe Harbor Statement under the U.S. Private Securities Litigation Reform Act of 1995; certain matters in this presentation,

More information

Samsung Electronics. 10 th KRX Global Investors Conference -1 -

Samsung Electronics. 10 th KRX Global Investors Conference -1 - Samsung Electronics 10 th KRX Global Investors Conference June 2007-1 - Corporate Overview -2 - Business Portfolio TV N-PC DVD/STB Printer Memory System LSI Storage Home Theater Monitor Digital Media 27%

More information

Flash Market Current & Future

Flash Market Current & Future Flash Market Current & Future Jim Handy OBJECTIVE ANALYSIS OBJECTIVE ANALYSIS Profound Analysts Reports & Services Custom Consulting Objective Analysis Semiconductor Forecast Accuracy Year Forecast Actual

More information

Keysight Technologies Third Quarter 2018 Earnings Conference Call. Prepared Remarks

Keysight Technologies Third Quarter 2018 Earnings Conference Call. Prepared Remarks Keysight Technologies Third Quarter 2018 Earnings Conference Call Prepared Remarks JASON KARY Thank you, and welcome everyone to Keysight s Third Quarter Earnings Conference Call for Fiscal Year 2018.

More information

Safe Harbor Statement

Safe Harbor Statement May 2017 1 Safe Harbor Statement Matters discussed in this presentation may contain forward-looking statements that are subject to risks and uncertainties. These risks and uncertainties could cause the

More information

Mission Impossible: Surviving Today s Flood of Critical Data

Mission Impossible: Surviving Today s Flood of Critical Data August 2017 Mission Impossible: Surviving Today s Flood of Critical Data Matt Rutledge Senior Vice President Business Marketing 8/10/17 Data Explosion Half a billion terabytes created daily Will continue

More information

Samsung Electronics Announces Fourth Quarter & FY 2015 Results

Samsung Electronics Announces Fourth Quarter & FY 2015 Results Samsung Electronics Announces Fourth Quarter & FY 2015 Results SEOUL, Korea January 28, 2016 Samsung Electronics announced financial results for the fourth quarter ended December 31, 2015. Samsung posted

More information

2012 NAND Flash Outlook

2012 NAND Flash Outlook 2012 NAND Flash Outlook -IN NAND WE TRUST- Sean Yang June 7 th, 2012 Agenda Overview for 2012 NAND Flash Market NAND Flash Supply Side Status NAND Flash Demand Side Status Smartphone, Tablet and Ultrabook

More information

Investor Presentation. January 28, 2009

Investor Presentation. January 28, 2009 Investor Presentation January 28, 2009 Forward Looking Statements and Non-GAAP Financial Measures This presentation contains forward-looking statements that are based on our current opinions and estimates.

More information

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X

W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b l e d N e x t - G e n e r a t i o n V N X Global Headquarters: 5 Speen Street Framingham, MA 01701 USA P.508.872.8200 F.508.935.4015 www.idc.com W H I T E P A P E R U n l o c k i n g t h e P o w e r o f F l a s h w i t h t h e M C x - E n a b

More information

Nanya Technology. Cathay Securities 1Q2017 Investor Summit. Presentation to Investors & Analysts, March 16 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Nanya Technology. Cathay Securities 1Q2017 Investor Summit. Presentation to Investors & Analysts, March 16 th, 2017 Joseph Wu, AVP & Deputy Spokesman Nanya Technology Cathay Securities 1Q2017 Investor Summit Presentation to Investors & Analysts, March 16 th, 2017 Joseph Wu, AVP & Deputy Spokesman Safe Harbor The information herein and the presentation

More information

Enterprise and Wholesale

Enterprise and Wholesale Enterprise and Wholesale Ron Spears Group President-Global Business Services AT&T Inc. Cautionary Language Concerning Forward-Looking Statements Information set forth in these presentations contains financial

More information

Natixis Technology Conference

Natixis Technology Conference Natixis Technology Conference Marcel Kemp Director Investor Relations - Europe March 24, 2016 Forward looking statements This document contains statements relating to certain projections and business trends

More information

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer

ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November Warren East Chief Executive Officer ARM Holdings plc Morgan Stanley 7 th Annual TMT Conference 14 November 2007 Warren East Chief Executive Officer 1 Background Semiconductor Market ARM is a secular growth story with a 25+ year time horizon

More information

Global Semiconductor Market Outlook to 2017

Global Semiconductor Market Outlook to 2017 RNCOS Page 1 Table of Contents 1. Analyst View 2. Research Methodology 3. Global Semiconductor Industry 3.1 By Player 3.2 By Region 3.3 By Application 4. Industry Performance Outlook to 2017 4.1 By Segment

More information

Cincinnati Bell Third Quarter 2011 Results. November 3, 2011

Cincinnati Bell Third Quarter 2011 Results. November 3, 2011 Cincinnati Bell Third Quarter 2011 Results November 3, 2011 Today s Agenda Performance Highlights Jack Cassidy, President & Chief Executive Officer Review of Wireline, Wireless, IT Services & Hardware

More information

T-Mobile US Q4 and Full Year 2013

T-Mobile US Q4 and Full Year 2013 T-Mobile US Q4 and Full Year 2013 Disclaimer This presentation contains forward-looking statements within the meaning of the U.S. federal securities laws. For those statements, we claim the protection

More information

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013

Leading the 10Gig Wave. Jefferies 2013 Global Technology Conference May 7, 2013 Leading the 10Gig Wave Jefferies 2013 Global Technology Conference May 7, 2013 Company Snapshot Market Leader in 10GE for Big Data & Enterprise Aquantia has shipped 2 Million 10GBASE-T ports Fabless semiconductor

More information

OPPORTUNITY TO ACCELERATE MOMENTUM

OPPORTUNITY TO ACCELERATE MOMENTUM OPPORTUNITY TO ACCELERATE MOMENTUM Pierre-Paul Allard Chief Customer Officer Juniper Networks CAUTIONARY STATEMENTS Forward-Looking Statements. This presentation contains forward-looking statements within

More information

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly

Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry. Dr. Thomas de Paly Transforming a Leading-Edge Microprocessor Wafer Fab into a World Class Silicon Foundry Dr. Thomas de Paly October 06, 2009 Opportunity Meets Vision Vision To be the first truly global semiconductor foundry,

More information

MRAM Developer Day 2018 MRAM Update

MRAM Developer Day 2018 MRAM Update MRAM Developer Day 2018 MRAM Update Barry Hoberman August 2018 1 Disclaimer Observations and opinions >35 years experience in wide variety of memory >12 years experience in MRAM 2012-2017 CEO/Chairman

More information

Toward a Memory-centric Architecture

Toward a Memory-centric Architecture Toward a Memory-centric Architecture Martin Fink EVP & Chief Technology Officer Western Digital Corporation August 8, 2017 1 SAFE HARBOR DISCLAIMERS Forward-Looking Statements This presentation contains

More information

Strategy update: The growth story accelerates

Strategy update: The growth story accelerates Strategy update: The growth story accelerates Dr. René Lenggenhager CEO, Comet Group Technology with Passion Agenda 1 The Comet Group at a Glance 2 Strategy Update 3 The Growth Story Accelerates Comet

More information

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018

RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA. April 3, 2018 RIDING ON THE NEXT BIG WAVE Acquisitions of NEXX and AMICRA April 3, 2018 Disclaimer The information contained in this presentation is provided for informational purpose only, and should not be relied

More information

Wireless Connectivity: Future Evolution of the Mobile Network

Wireless Connectivity: Future Evolution of the Mobile Network Wireless Connectivity: Future Evolution of the Mobile Network Simon Yeung Executive Director, Comba Telecom Systems Holdings 26 May 2017 President, Comba Telecom Systems International 2017 Comba Telecom.

More information

Veeco Expands Solar Equipment Product Line Acquisition of Mill Lane Engineering. May 22, 2008

Veeco Expands Solar Equipment Product Line Acquisition of Mill Lane Engineering. May 22, 2008 Veeco Expands Solar Equipment Product Line Acquisition of Mill Lane Engineering May 22, 2008 Veeco Expands Thin Film Solar Equipment Product Line Completed purchase of Mill Lane Engineering Privately held

More information

Fourth Quarter and Full Year 2018 Earnings Presentation. February 21, 2019

Fourth Quarter and Full Year 2018 Earnings Presentation. February 21, 2019 Fourth Quarter and Full Year 2018 Earnings Presentation February 21, 2019 1 Safe Harbor Caution Concerning Forward-Looking Statements Various remarks that the Company makes contain forward-looking statements

More information

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011

UBS Technology Conference 2011 Franki D Hoore - Director European Investor Relations London, March 10, 2011 UBS Technology Conference Franki D Hoore - Director European Investor Relations London, March 10, / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of

More information

Capturing value from an open ecosystem

Capturing value from an open ecosystem Capturing value from an open ecosystem Tom Rosamilia Senior Vice President IBM Systems Forward-Looking Statement Certain comments made during this event and in the presentation materials may be characterized

More information

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO

UBS 42 nd Annual Global Media and Communications Conference December 8, Leigh Fox, CFO UBS 42 nd Annual Global Media and Communications Conference December 8, 2014 Leigh Fox, CFO Safe Harbor This presentation and the documents incorporated by reference herein contain forward-looking statements

More information

Predicting the Next Wave of Semiconductor Growth

Predicting the Next Wave of Semiconductor Growth Predicting the Next Wave of Semiconductor Growth Wally Rhines President and CEO Mentor, a Siemens Business January 16, 2018 Electronic Equipment Revenue ($Billions) New Semiconductor Applications Drive

More information

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications

UBS Global Technology and Services Conference New York City. Craig DeYoung VP, Investor Relations & Corporate Communications UBS Global Technology and Services Conference New York City Craig DeYoung VP, Investor Relations & Corporate Communications June 9, 2009 / Slide 1 Safe Harbor "Safe Harbor" Statement under the US Private

More information

Tech Data s Acquisition of Avnet Technology Solutions

Tech Data s Acquisition of Avnet Technology Solutions Tech Data s Acquisition of Avnet Technology Solutions Creating a Premier Global IT Distributor: From the Data Center to the Living Room September 19, 2016 techdata.com 1 Forward-Looking Statements Safe

More information

Windstream acquisition of Broadview Networks Transaction overview April 13, 2017

Windstream acquisition of Broadview Networks Transaction overview April 13, 2017 Windstream acquisition of Broadview Networks Transaction overview April 13, 2017 Safe Harbor Statement Windstream Holdings, Inc. claim the protection of the safe-harbor for forward-looking statements contained

More information

Vodafone Group Plc Michel Combes. Deutsche Bank European TMT Conference September 2011

Vodafone Group Plc Michel Combes. Deutsche Bank European TMT Conference September 2011 Vodafone Group Plc Michel Combes Deutsche Bank European TMT Conference September 2011 Disclaimer Information in the following presentation relating to the price at which relevant investments have been

More information

Company Presentation. August 2013

Company Presentation. August 2013 Company Presentation August 2013 1 Untapped User Base India: One of the Largest Consumer Economies India : 4th Largest Economy Globally GDP (PPP) : US$ 4.8 Tn GDP at Purchasing Power Parity in 2012 US$

More information

Invest Malaysia Sustainable value creation through internet growth

Invest Malaysia Sustainable value creation through internet growth Invest Malaysia Sustainable value creation through internet growth 9 June 2014 Malaysia offers opportunities for continued growth Malaysia [1] 30 million population 144% mobile penetration > 85% are prepaid

More information

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman

Nanya Technology. WATERLAND Investment Forum Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Nanya Technology WATERLAND Investment Forum 2017 Presentation to Investors & Analysts, August 29 th, 2017 Joseph Wu, AVP & Deputy Spokesman Safe Harbor The information herein and the presentation made

More information

Electrical Products Group Conference

Electrical Products Group Conference Electrical Products Group Conference Alexander M. Cutler Chairman and Chief Executive Officer May 21, 2013 Forward-looking statements and non-gaap financial information The information provided at our

More information

Connected Intelligence

Connected Intelligence Connected Intelligence Caroline Dowling President, Communications and Enterprise Compute (CEC) Communications and Enterprise Compute (CEC)» Revenue FY17 $8.4B Cloud Data Center: Up 14% YoY Communications:

More information

Next Level Stage 2. Accelerating transformation Status update

Next Level Stage 2. Accelerating transformation Status update Ulrich Spiesshofer, President & CEO, ABB Ltd., JPM European Capital Goods CEO Conference,, Pennyhill Park, UK Next Level Stage 2 Accelerating transformation Status update Slide 1 Important notices Presentations

More information

Steve Milligan President & Chief Executive Officer. April 22, 2010

Steve Milligan President & Chief Executive Officer. April 22, 2010 Steve Milligan President & Chief Executive Officer April 22, 2010 Cautionary Statement Certain statements found in this document may constitute forward-looking statements as defined in the U.S. Private

More information

Risk Factors. Rev. 4/19/11

Risk Factors. Rev. 4/19/11 Risk Factors Today s presentations contain forward-looking statements. All statements made that are not historical facts are subject to a number of risks and uncertainties, and actual results may differ

More information