Christophe HURIAUX. Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration

Size: px
Start display at page:

Download "Christophe HURIAUX. Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration"

Transcription

1 Mid-term Evaluation March 19 th, 2015 Christophe HURIAUX Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration Accélérateurs matériels reconfigurables embarqués avec reconfiguration dynamique efficace 1 Christophe Huriaux Mid-term Evaluation March 19 th,

2 Outline Introduction Thesis context: FlexTiles in a nutshell Relocation: State of the Art Challenges Contributions Hardware Architecture CAD tools Side Activities Conclusion & Ongoing Work 2 Christophe Huriaux Mid-term Evaluation March 19 th,

3 Context: FlexTiles in a nutshell FlexTiles: Self adaptive heterogeneous manycore based on Flexible Tiles Provide a heterogeneous many-core architecture offering Large flexibility High-performance, energy efficiency Raised programming efficiency Self-adaptation through virtualization 3 Christophe Huriaux Mid-term Evaluation March 19 th,

4 Context: FlexTiles in a nutshell 3D-Stacked Heterogeneous manycore General Purpose Processors (GPP) for flexibility and programming homogeneity Network On Chip Dedicated hardware accelerators mapped at run-time on a reconfigurable layer Reconfigurable layer with seamless task migration capabilities Virtualization layer to provide an abstraction of the manycore and self adaptive services Tool-chain for parallelization and compilation 4 Christophe Huriaux Mid-term Evaluation March 19 th,

5 3D interface to the NoC DSP blocks Memory blocks 5 Christophe Huriaux Mid-term Evaluation March 19th,

6 State of the Art: Industry Predefined reconfigurable regions [Altera2010][Xilinx2013] Bit-stream depends on task location Use LUTs as interfaces with static logic I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O HW Accelerator #1 BS #1 HW Accelerator #1 BS #2 I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O I/O 6 Christophe Huriaux Mid-term Evaluation March 19 th,

7 State of the Art: Academic Online Rewrite of parts of the bit-stream [Horta2001] [Kalte2006] Time consuming, limited flexibility Offline calculations of possible differences [Touiza2012] [Beckhoff2014] Memory consuming Online place and route [Lysecky2004] Time and memory consuming No work on heterogeneous relocation! 7 Christophe Huriaux Mid-term Evaluation March 19 th,

8 Challenges Position-independent tasks Simple algorithms No predefined configuration domains Cope with the heterogeneity Resource sharing/distribution easiness How to move a task around the logic fabric? Dedicated CAD tool-flow Needed to validate the other contributions 8 Christophe Huriaux Mid-term Evaluation March 19 th,

9 Contributions Routing Reconf. Mem. Placement Routing Hardware Logic array Arch. model CAD efpga Bitstream RTL generation Architecture Controller Reconf. Algorithm Virtual Bit-Stream 9 Christophe Huriaux Mid-term Evaluation March 19 th,

10 Contributions: Hardware Homogeneous case No constraint on task placement Regular routing architecture Cope with heterogeneity RAM, DSP, 3D I/Os Migration is limited vertically to the same column to the next column containing same complex blocks Logic Element (LE) Configured LE Task 10 Christophe Huriaux Mid-term Evaluation March 19 th,

11 Contributions: Hardware Heterogeneous blocks routing is abstracted from logic routing Long lines allow a trade-off between placement flexibility and routing complexity A two-level routing is performed at runtime: Logic routing (as in the homogeneous case) Heterogeneous block routing through long lines 11 Christophe Huriaux Mid-term Evaluation March 19 th,

12 Contributions: Hardware Increase the flexibility of a task placement Implemented in a modified version of Versatile Place & Route (VPR) Evaluation on critical path delay and required routing resources: Only 2% delay increase in average 1.8x routing resources increase (need specialized routing algorithm for a more fair use) Dissemination FPL 14 [Huriaux2014] 12 Christophe Huriaux Mid-term Evaluation March 19 th,

13 Contributions: Architecture A task is synthesized, placed & routed into a Virtual Bit-Stream (VBS) Independent from task physical location in the fabric No predefined configuration domains A reconfiguration controller generates final BS at run-time Christophe Huriaux Mid-term Evaluation March 19 th,

14 Contributions: Architecture Island-style FPGA Logic grid Mesh routing lines Switch boxes Interconnect The VBS encode each island separately 14 Christophe Huriaux Mid-term Evaluation March 19 th,

15 Contributions: Architecture Each routing node is 6 or 3 transistors The bitstream is the state of each transistor bits in this example Christophe Huriaux Mid-term Evaluation March 19 th,

16 Contributions: Architecture The VBS abstracts the inner details of the routing The routes are encoded as a list of connections: (20 ; 8) (1 ; 9) (5 ; 18) Christophe Huriaux Mid-term Evaluation March 19 th,

17 Contributions: Architecture The VBS encoding is position independent The final bit-stream can be calculated from the VBS for differently routed network The online decoding algorithm is simple since the global routing has been determined offline The resulting VBS is 2.5x smaller than the equivalent raw bit-stream Up to 10x smaller using clusters of islands Dissemination: DATE 15 [Huriaux2015] Patent [Sentieys2014] 17 Christophe Huriaux Mid-term Evaluation March 19 th,

18 Contributions: CAD tools Based on the Verilog-To-Routing (VTR) framework Allows to describe any island-style architecture and perform place and route operations Uses Versatile Place and Route Widely used for academic FPGA architecture research A custom backend reads the placement and routing data to generate Virtual Bit-Streams 18 Christophe Huriaux Mid-term Evaluation March 19 th,

19 Contributions: CAD tools High-level task description Mapped logic netlist High-level Synthesis Placer Router HDL task description RTL task description Placement data Routing data Arch. netlist HDL Synthesis Bitstream generation Flat logic netlist Arch. description Virtual bit-stream Technology mapping 19 Christophe Huriaux Mid-term Evaluation March 19 th,

20 Side Activities Teaching 64h IUT (analog electronics, computer engineering) 64h+64h ENSSAT (analog electronics, digital systems) Courses Scientific: 96h General: 46h 3 month mobility at University of Amherst (USA) with Pr. Russell Tessier (Summer 2014) Publication on FPGAs Trojans [Swierczynski2015] 20 Christophe Huriaux Mid-term Evaluation March 19 th,

21 Conclusion & Ongoing Work Summary Proposed a routing architecture to provide more flexibility for heterogeneous relocation Introduced the concept of a position-independent and compressed task bit-stream: the Virtual Bit-Stream (VBS) Developped the associated tool-flow to generate the VBS Elaborated an RTL model of the whole architecture Ongoing work Enhance the configuration method Dissemination on the CAD tools (ICCAD) Journal extension(s) 21 Christophe Huriaux Mid-term Evaluation March 19 th,

22 Q&A Thank you J Questions? 22 Christophe Huriaux Mid-term Evaluation March 19 th,

23 References [Altera2010] Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28-nm FPGAs, Altera Corporation, [Beckhoff2014] C. Beckhoff, D. Koch, and J. Torresen, Portable Module Relocation and Bitstream Compression for Xilinx FPGAs, in the Proceedings of the 24th conference of Field Programmable Logic, pp [Horta2001] E. Horta, J. W. Lockwood. PARBIT: a tool to transform bitfiles to implement partial reconfiguration of field pro- grammable gate arrays (FPGAs), Tech. Rep. WUCS-01-13, Washington University, [Huriaux2014] C. Huriaux, O. Sentieys, and R. Tessier, FPGA Architecture Support for Heterogeneous, Relocatable Partial Bitstreams, in the Proceedings of the 24th conference of Field Programmable Logic, pp [Huriaux2015] C. Huriaux, A. Courtay, O. Sentieys, Design Flow and Run-Time Management for Compressed FPGA Configurations, in the Proceedings of the 18 th DATE conference, to appear. [Kalte2006] H. Kalte and M. Porrmann, REPLICA2Pro: Task Relocation by Bit- stream Manipulation in Virtex-II/Pro FPGAs, in the Proceedings of the 3rd conference on computing frontiers (CF). ACM, 2006, pp [Lysecky2004] R. Lysecky, F. Vahid, and S. X.-D. Tan, Dynamic FPGA routing for just-in-time FPGA compilation, in the Proceedings of the 41th Design Automation Conference, 2004, pp [Sentieys2014] O. Sentieys, A. Courtay, C. Huriaux and S. Pillement, Method and Device for Programming an FPGA, EU Patent, filed on Jan Christophe Huriaux Mid-term Evaluation March 19 th,

24 References [Swierczynski2015] P. Swierczynski, M. Fybriak, and C. Paar, C. Huriaux, and R. Tessier, Protecting against Cryptographic Trojans in FPGAs, in the Proceedings of the 23rd IEEE International Symposium on Field- Programmable Custom Computing Machines, 2015, to appear. [Touiza2012] M. Touiza, G. Ochoa-Ruiz, E.-B. Bourennane, A. Guessoum, and K. Messaoudi, A novel methodology for accelerating bitstream relocation in partially reconfigurable systems, Microprocessors and Microsystems, vol. 37, no. 3, pp , [Xilinx2013] Partial Reconfiguration User Guide, UG702, Xilinx, Inc., Christophe Huriaux Mid-term Evaluation March 19 th,

25 FPL 14: Results Architecture based on a simplified Stratix IV with: Dual-port 144k memories Fracturable 36x36 multipliers Evaluation on two criteria Delay of the critical path Minimum channel width Number of tracks in the homogeneous routing channels Minimum channel width determined by VPR Not directly related to silicon area 25 C. Huriaux, O. Sentieys and R. Tessier September 3 rd,

26 FPL 14: Results Benchmark set: VTR framework circuits [1] Circuit # Mem # Mult # LB bgm ,174 boundtop 1 0 2,977 ch_intrinsics diffeq diffeq LU8PEEng mkdelayworker32b mkpktmerge mksmadapter4b or raygentop stereovision [1] Rose, Jonathan, Luu, Jason, Yu, Chi Wai, et al. The VTR project: architecture and CAD for FPGAs from verilog to routing. In Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays. ACM, p C. Huriaux, O. Sentieys and R. Tessier September 3 rd,

27 FPL 14: Results: Delay Estimation of the worst case delay Impossible to predict where connections to long lines will be done Some channels crossing fixed-function blocks are longer 27 C. Huriaux, O. Sentieys and R. Tessier September 3 rd,

28 FPL 14: Results: Delay ns 160,00 140,00 120,00 100,00 proposed/classic 1,2 1 0,8 80,00 60,00 40,00 20,00 0,00 0,6 0,4 0,2 0 Crit. Path (classic) Crit. Path. (enhanced) Crit. Path. (ratio) Only 2% delay increase (in average) 28 C. Huriaux, O. Sentieys and R. Tessier September 3 rd,

29 FPL 14: Results: Min. Channel Width # tracks 160,00 140,00 120,00 100,00 80,00 60,00 40,00 20,00 0,00 proposed/classic 4,5 4 3,5 3 2,5 2 1,5 1 0,5 0 min W (classic) min W (enhanced) min W (ratio) 1.8X channel width increase on average Need for specific routing algorithms to deal with the heterogeneous interconnection network 29 C. Huriaux, O. Sentieys and R. Tessier September 3 rd,

30 DATE 15: Results Benchmark 20 biggest MCNC designs Avg. Compression ratio: 40% Size (Kbit) Bit-stream size comparison BS VBS Ratio VBS/BS 100 % 80 % 60 % 40 % 20 % Compression ratio 100 tseng spla seq s s38417 s298 pdc misex3 frisc ex5p ex1010 elliptic dsip diffeq des clma bigkey apex4 apex2 0 % Circuit 30 Christophe Huriaux Mid-term Evaluation March 19 th,

31 DATE 15: Results Up to 10% compression using clusters VBS size (Kbit) Size (min/max) Size (avg) Compression (avg) 100 % 80 % 60 % 40 % Compression ratio % Cluster size 0 % 31 Christophe Huriaux Mid-term Evaluation March 19 th,

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

FlexTiles. Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore

FlexTiles. Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore FlexTiles www.flextiles.eu Runtime mapping of hardware accelerators on 3D self-adaptive heterogeneous manycore 21/5/2013 Christophe HURIAUX, Olivier SENTIEYS, Antoine COURTAY, Emmanuel CASSEAU, Quang Hoa

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

Designing Heterogeneous FPGAs with Multiple SBs *

Designing Heterogeneous FPGAs with Multiple SBs * Designing Heterogeneous FPGAs with Multiple SBs * K. Siozios, S. Mamagkakis, D. Soudris, and A. Thanailakis VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus

More information

An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs

An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs ReCoSoC 2013 Qian Zhao Motoki Amagasaki Masahiro Iida Morihiro Kuga Toshinori Sueyoshi (, Japan) Background FPGA IP core development

More information

Introduction Warp Processors Dynamic HW/SW Partitioning. Introduction Standard binary - Separating Function and Architecture

Introduction Warp Processors Dynamic HW/SW Partitioning. Introduction Standard binary - Separating Function and Architecture Roman Lysecky Department of Electrical and Computer Engineering University of Arizona Dynamic HW/SW Partitioning Initially execute application in software only 5 Partitioned application executes faster

More information

Vdd Programmability to Reduce FPGA Interconnect Power

Vdd Programmability to Reduce FPGA Interconnect Power Vdd Programmability to Reduce FPGA Interconnect Power Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 ABSTRACT Power is an increasingly important

More information

A Routing Approach to Reduce Glitches in Low Power FPGAs

A Routing Approach to Reduce Glitches in Low Power FPGAs A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin Wong Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign This research

More information

VTR 7.0: Next Generation Architecture and CAD System for FPGAs

VTR 7.0: Next Generation Architecture and CAD System for FPGAs 6 VTR 7.0: Next Generation Architecture and CAD System for FPGAs JASON LUU, University of Toronto JEFFREY GOEDERS, University of British Columbia MICHAEL WAINBERG, University of Toronto ANDREW SOMERVILLE,

More information

Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow

Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow Jin Hee Kim and Jason H. Anderson Dept. of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada

More information

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors RPack: Rability-Driven packing for cluster-based FPGAs E. Bozorgzadeh S. Ogrenci-Memik M. Sarrafzadeh Computer Science Department Department ofece Computer Science Department UCLA Northwestern University

More information

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Ashokkumar A, Dr. Niranjan N Chiplunkar, Vinay S Abstract The Auction Based methodology for routing of 3D FPGA (Field Programmable

More information

IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION. Andrew Somerville

IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION. Andrew Somerville IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION by Andrew Somerville Bachelor of Computer Science, University of New Brunswick, 2010 A Thesis Submitted in Partial Fulfillment of

More information

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning

A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning By: Roman Lysecky and Frank Vahid Presented By: Anton Kiriwas Disclaimer This specific

More information

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro

DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR. Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro DYNAMICALLY SHIFTED SCRUBBING FOR FAST FPGA REPAIR Leonardo P. Santos, Gabriel L. Nazar and Luigi Carro Instituto de Informática Universidade Federal do Rio Grande do Sul (UFRGS) Porto Alegre, RS - Brazil

More information

Fast FPGA Routing Approach Using Stochestic Architecture

Fast FPGA Routing Approach Using Stochestic Architecture . Fast FPGA Routing Approach Using Stochestic Architecture MITESH GURJAR 1, NAYAN PATEL 2 1 M.E. Student, VLSI and Embedded System Design, GTU PG School, Ahmedabad, Gujarat, India. 2 Professor, Sabar Institute

More information

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose Right Track CAD Corp. #313-72 Spadina Ave. Toronto, ON, Canada M5S 2T9 {arm, vaughn,

More information

FPGA Power Reduction Using Configurable Dual-Vdd

FPGA Power Reduction Using Configurable Dual-Vdd FPGA Power Reduction Using Configurable Dual-Vdd 45.1 Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA {feil, ylin, lhe}@ee.ucla.edu ABSTRACT Power

More information

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Yuan Xue, Patrick ronin, hengmo Yang and Jingtong Hu 01/27/2016 Outline Introduction NV-FPGA benefits and challenges Routing optimization

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

According to the Moore s law, the number of transistors. Parallel FPGA Router using Sub-Gradient method. Steiner tree.

According to the Moore s law, the number of transistors. Parallel FPGA Router using Sub-Gradient method. Steiner tree. 1 Parallel FPGA Router using Sub-Gradient method and Steiner tree Rohit Agrawal, Chin Hau Hoo, Kapil Ahuja, and Akash Kumar arxiv:1803.03885v2 [cs.dc] 19 Aug 2018 Abstract In the FPGA (Field Programmable

More information

Static and Dynamic Memory Footprint Reduction for FPGA Routing Algorithms

Static and Dynamic Memory Footprint Reduction for FPGA Routing Algorithms 18 Static and Dynamic Memory Footprint Reduction for FPGA Routing Algorithms SCOTT Y. L. CHIN and STEVEN J. E. WILTON University of British Columbia This article presents techniques to reduce the static

More information

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs { Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs Marvin Tom marvint @ ece.ubc.ca Guy Lemieux lemieux @ ece.ubc.ca Dept of ECE, University of British Columbia, Vancouver, BC,

More information

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction 44.1 Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration

Don t Forget the Memory: Automatic Block RAM Modelling, Optimization, and Architecture Exploration Don t Forget the : Automatic Block RAM Modelling, Optimization, and Architecture Exploration S. Yazdanshenas, K. Tatsumura *, and V. Betz University of Toronto, Canada * Toshiba Corporation, Japan : An

More information

Timing-Driven Placement for FPGAs

Timing-Driven Placement for FPGAs Timing-Driven Placement for FPGAs Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose 1 {arm, vaughn, jayar}@rtrack.com Right Track CAD Corp., Dept. of Electrical and Computer Engineering, 720

More information

What is Xilinx Design Language?

What is Xilinx Design Language? Bill Jason P. Tomas University of Nevada Las Vegas Dept. of Electrical and Computer Engineering What is Xilinx Design Language? XDL is a human readable ASCII format compatible with the more widely used

More information

Development of a Design Framework for Platform-Independent Networked Reconfiguration of Software and Hardware

Development of a Design Framework for Platform-Independent Networked Reconfiguration of Software and Hardware Development of a Design Framework for Platform-Independent Networked Reconfiguration of Software and Hardware Yajun Ha 12, Bingfeng Mei 12, Patrick Schaumont 1, Serge Vernalde 1, Rudy Lauwereins 1, and

More information

Heterogeneous Technology Mapping for FPGAs with Dual-Port Embedded Memory Arrays

Heterogeneous Technology Mapping for FPGAs with Dual-Port Embedded Memory Arrays Heterogeneous Technology Mapping for FPGAs with Dual-Port Embedded Memory Arrays Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada,

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

Field Programmable Gate Array

Field Programmable Gate Array Field Programmable Gate Array System Arch 27 (Fire Tom Wada) What is FPGA? System Arch 27 (Fire Tom Wada) 2 FPGA Programmable (= reconfigurable) Digital System Component Basic components Combinational

More information

Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer

Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer Design and Implementation of FPGA Logic Architectures using Hybrid LUT/Multiplexer Krosuri Rajyalakshmi 1 J.Narashima Rao 2 rajyalakshmi.krosuri@gmail.com 1 jnarasimharao09@gmail.com 2 1 PG Scholar, VLSI,

More information

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration Jason Cong Bingjun Xiao Department of Computer Science University of California, Los Angeles {cong, xiao}@cs.ucla.edu Abstract In

More information

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures

Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Implementing Logic in FPGA Memory Arrays: Heterogeneous Memory Architectures Steven J.E. Wilton Department of Electrical and Computer Engineering University of British Columbia Vancouver, BC, Canada, V6T

More information

A Novel Net Weighting Algorithm for Timing-Driven Placement

A Novel Net Weighting Algorithm for Timing-Driven Placement A Novel Net Weighting Algorithm for Timing-Driven Placement Tim (Tianming) Kong Aplus Design Technologies, Inc. 10850 Wilshire Blvd., Suite #370 Los Angeles, CA 90024 Abstract Net weighting for timing-driven

More information

A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs. Jason Luu

A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs. Jason Luu A Hierarchical Description Language and Packing Algorithm for Heterogenous FPGAs by Jason Luu A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

Optimizing Effective Interconnect Capacitance for FPGA Power Reduction

Optimizing Effective Interconnect Capacitance for FPGA Power Reduction Optimizing Effective Interconnect Capacitance for FPGA Power Reduction Safeen Huda, Jason Anderson Dept. of ECE, University of Toronto Toronto, ON, Canada Hirotaka Tamura Fujitsu Laboratories Limited Kawasaki,

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

INTRODUCTION TO FPGA ARCHITECTURE

INTRODUCTION TO FPGA ARCHITECTURE 3/3/25 INTRODUCTION TO FPGA ARCHITECTURE DIGITAL LOGIC DESIGN (BASIC TECHNIQUES) a b a y 2input Black Box y b Functional Schematic a b y a b y a b y 2 Truth Table (AND) Truth Table (OR) Truth Table (XOR)

More information

On Hard Adders and Carry Chains in FPGAs

On Hard Adders and Carry Chains in FPGAs 2014 2014 IEEE IEEE 22nd 22nd Annual International Symposium on on Field-Programmable Custom Custom Computing Machines Machines On Hard Adders and Carry Chains in FPGAs Jason Luu, Conor McCullough, Sen

More information

FPGA Design Framework Combined with Commercial VLSI CAD

FPGA Design Framework Combined with Commercial VLSI CAD 1602 PAPER Special Section on Reconfigurable Systems FPGA Design Framework Combined with Commercial VLSI CAD Qian ZHAO a), Nonmember, Kazuki INOUE, Student Member, Motoki AMAGASAKI, Masahiro IIDA, Morihiro

More information

Rapid Overlay Builder for Xilinx FPGAs

Rapid Overlay Builder for Xilinx FPGAs Rapid Overlay Builder for Xilinx FPGAs by Xi Yue B.A.Sc., University of Toronto, 2012 A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REUIQEMENTS FOR THE DEGREE OF MASTER OF APPLIED SCIENCE in THE FACULTY

More information

Experiment 3. Digital Circuit Prototyping Using FPGAs

Experiment 3. Digital Circuit Prototyping Using FPGAs Experiment 3. Digital Circuit Prototyping Using FPGAs Masud ul Hasan Muhammad Elrabaa Ahmad Khayyat Version 151, 11 September 2015 Table of Contents 1. Objectives 2. Materials Required 3. Background 3.1.

More information

JPG A Partial Bitstream Generation Tool to Support Partial Reconfiguration in Virtex FPGAs

JPG A Partial Bitstream Generation Tool to Support Partial Reconfiguration in Virtex FPGAs JPG A Partial Bitstream Generation Tool to Support Partial Reconfiguration in Virtex FPGAs Anup Kumar Raghavan Motorola Australia Software Centre Adelaide SA Australia anup.raghavan@motorola.com Peter

More information

FPGA Based Digital Design Using Verilog HDL

FPGA Based Digital Design Using Verilog HDL FPGA Based Digital Design Using Course Designed by: IRFAN FAISAL MIR ( Verilog / FPGA Designer ) irfanfaisalmir@yahoo.com * Organized by Electronics Division Integrated Circuits Uses for digital IC technology

More information

Key technologies for many core architectures

Key technologies for many core architectures Key technologies for many core architectures Thierry Collette CEA, LIST thierry.collette@c ea.fr 1 Embedded computing Silicon area offers perfo rmance Applications x 40 from 90 to 45 ns Computing performance

More information

New Successes for Parameterized Run-time Reconfiguration

New Successes for Parameterized Run-time Reconfiguration New Successes for Parameterized Run-time Reconfiguration (or: use the FPGA to its true capabilities) Prof. Dirk Stroobandt Ghent University, Belgium Hardware and Embedded Systems group Universiteit Gent

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Research Article FPGA Interconnect Topologies Exploration

Research Article FPGA Interconnect Topologies Exploration International Journal of Reconfigurable Computing Volume 29, Article ID 259837, 13 pages doi:1.1155/29/259837 Research Article FPGA Interconnect Topologies Exploration Zied Marrakchi, Hayder Mrabet, Umer

More information

Cross-layer Optimized Placement and Routing for FPGA Soft Error Mitigation

Cross-layer Optimized Placement and Routing for FPGA Soft Error Mitigation Cross-layer Optimized Placement and Routing for FPGA Soft Error Mitigation Keheng Huang Yu Hu Xiaowei Li Key Laboratory of Computer System and Architecture, Institute of Computing Technology, Chinese Academy

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

An Efficient FPGA Overlay for Portable Custom Instruction Set Extensions

An Efficient FPGA Overlay for Portable Custom Instruction Set Extensions An Efficient FPGA Overlay for Portable Custom Instruction Set Extensions Dirk Koch,, Christian Beckhoff, and Guy G. F. Lemieux Dept. of Informatics, University of Oslo, Norway, Dept. of ECE, UBC Vancouver,

More information

Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs

Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs Fault-Free: A Framework for Supporting Fault Tolerance in FPGAs Kostas Siozios 1, Dimitrios Soudris 1 and Dionisios Pnevmatikatos 2 1 School of Electrical & Computer Engineering, National Technical University

More information

Efficient Hardware Debugging using Parameterized FPGA Reconfiguration

Efficient Hardware Debugging using Parameterized FPGA Reconfiguration 2016 IEEE International Parallel and Distributed Processing Symposium Workshops Efficient Hardware Debugging using Parameterized FPGA Reconfiguration Alexandra Kourfali Department of Electronics and Information

More information

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores

A Configurable Multi-Ported Register File Architecture for Soft Processor Cores A Configurable Multi-Ported Register File Architecture for Soft Processor Cores Mazen A. R. Saghir and Rawan Naous Department of Electrical and Computer Engineering American University of Beirut P.O. Box

More information

An Introduction to Programmable Logic

An Introduction to Programmable Logic Outline An Introduction to Programmable Logic 3 November 24 Transistors Logic Gates CPLD Architectures FPGA Architectures Device Considerations Soft Core Processors Design Example Quiz Semiconductors Semiconductor

More information

A VERILOG RTL SYNTHESIS TOOL FOR HETEROGENEOUS FPGAS. Peter Jamieson, Jonathan Rose

A VERILOG RTL SYNTHESIS TOOL FOR HETEROGENEOUS FPGAS. Peter Jamieson, Jonathan Rose A VERILOG RTL SYNTHESIS TOOL FOR HETEROGENEOUS FPGAS Peter Jamieson, Jonathan Rose Edward S. Rogers Sr. Department of Electrical and Computer Engineering University of Toronto Toronto, Ontario, Canada

More information

Timing Optimization of FPGA Placements by Logic Replication

Timing Optimization of FPGA Placements by Logic Replication 13.1 Timing Optimization of FPGA Placements by Logic Replication Giancarlo Beraudo ECE Department, University of Illinois at Chicago 851 S. Morgan St., Chicago IL, 60607 gberaudo@ece.uic.edu John Lillis

More information

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation

Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Multi-Gigahertz Parallel FFTs for FPGA and ASIC Implementation Doug Johnson, Applications Consultant Chris Eddington, Technical Marketing Synopsys 2013 1 Synopsys, Inc. 700 E. Middlefield Road Mountain

More information

IMPROVING LOGIC DENSITY THROUGH SYNTHESIS-INSPIRED ARCHITECTURE Jason H. Anderson

IMPROVING LOGIC DENSITY THROUGH SYNTHESIS-INSPIRED ARCHITECTURE Jason H. Anderson IMPROVING LOGIC DENITY THROUGH YNTHEI-INPIRED ARCHITECTURE Jason H. Anderson Dept. of ECE, Univ. of Toronto Toronto, ON Canada email: janders@eecg.toronto.edu ABTRACT We leverage properties of the logic

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Mar 08, 2018 Contents 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

FPGAs: FAST TRACK TO DSP

FPGAs: FAST TRACK TO DSP FPGAs: FAST TRACK TO DSP Revised February 2009 ABSRACT: Given the prevalence of digital signal processing in a variety of industry segments, several implementation solutions are available depending on

More information

Enhancing Resource Utilization with Design Alternatives in Runtime Reconfigurable Systems

Enhancing Resource Utilization with Design Alternatives in Runtime Reconfigurable Systems Enhancing Resource Utilization with Design Alternatives in Runtime Reconfigurable Systems Alexander Wold, Dirk Koch, Jim Torresen Department of Informatics, University of Oslo, Norway Email: {alexawo,koch,jimtoer}@ifi.uio.no

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Jul 24, 2018 Usage 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization

Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization Zhiru Zhang School of ECE, Cornell University September 29, 2017 @ EPFL A Case Study on Digit Recognition bit6 popcount(bit49 digit)

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

Warp Processors (a.k.a. Self-Improving Configurable IC Platforms)

Warp Processors (a.k.a. Self-Improving Configurable IC Platforms) (a.k.a. Self-Improving Configurable IC Platforms) Frank Vahid Department of Computer Science and Engineering University of California, Riverside Faculty member, Center for Embedded Computer Systems, UC

More information

Introduction to reconfigurable systems

Introduction to reconfigurable systems Introduction to reconfigurable systems Reconfigurable system (RS)= any system whose sub-system configurations can be changed or modified after fabrication Reconfigurable computing (RC) is commonly used

More information

Buffer Design and Assignment for Structured ASIC *

Buffer Design and Assignment for Structured ASIC * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 30, 107-124 (2014) Buffer Design and Assignment for Structured ASIC * Department of Computer Science and Engineering Yuan Ze University Chungli, 320 Taiwan

More information

Statistical Dual-Vdd Assignment for FPGA Interconnect Power Reduction

Statistical Dual-Vdd Assignment for FPGA Interconnect Power Reduction Statistical Dual-Vdd Assignment for FPGA Interconnect Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles {ylin, lhe}@ee.ucla.edu, http://eda.ee.ucla.edu

More information

Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture

Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture Performance Improvement and Size Reduction Scheme over Circuits by Using LUT/MUX Architecture R. Pradeepa 1, S.P. Senthil Kumar 2 M.E. VLSI Design, Shanmuganathan Engineering College, Arasampatti, Pudukkottai-622507,

More information

An Incremental Trace-Based Debug System for Field-Programmable Gate-Arrays

An Incremental Trace-Based Debug System for Field-Programmable Gate-Arrays Brigham Young University BYU ScholarsArchive All Theses and Dissertations 2013-11-07 An Incremental Trace-Based Debug System for Field-Programmable Gate-Arrays Jared Matthew Keeley Brigham Young University

More information

Variation Aware Routing for Three-Dimensional FPGAs

Variation Aware Routing for Three-Dimensional FPGAs Variation Aware Routing for Three-Dimensional FPGAs Chen Dong, Scott Chilstedt, and Deming Chen Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign {cdong3, chilste1,

More information

THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS

THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS THE COARSE-GRAINED / FINE-GRAINED LOGIC INTERFACE IN FPGAS WITH EMBEDDED FLOATING-POINT ARITHMETIC UNITS Chi Wai Yu 1, Julien Lamoureux 2, Steven J.E. Wilton 2, Philip H.W. Leong 3, Wayne Luk 1 1 Dept

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Oct 26, 2018 Usage 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing

Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing Towards a Dynamically Reconfigurable System-on-Chip Platform for Video Signal Processing Walter Stechele, Stephan Herrmann, Andreas Herkersdorf Technische Universität München 80290 München Germany Walter.Stechele@ei.tum.de

More information

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs

Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs Anurag Tiwari and Karen A. Tomko Department of ECECS, University of Cincinnati Cincinnati, OH 45221-0030, USA {atiwari,

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

On pin-to-wire routing in FPGAs. Niyati Shah

On pin-to-wire routing in FPGAs. Niyati Shah On pin-to-wire routing in FPGAs by Niyati Shah A thesis submitted in conformity with the requirements for the degree of Master of Applied Science and Engineering Graduate Department of Electrical & Computer

More information

Review paper on hybrid LUT/MUX combinational architecture

Review paper on hybrid LUT/MUX combinational architecture Review paper on hybrid LUT/MUX combinational architecture International Journal of LNCT, Vol 2(5) ISSN (Online): 2456-9895 Shaili Jain 1, Shashilata Rawat 2 and Monika Kapoor 3 M.Tech Scholar,Department

More information

FPGA Programmable Logic Block Evaluation using. Quantified Boolean Satisfiability

FPGA Programmable Logic Block Evaluation using. Quantified Boolean Satisfiability FPGA Programmable Logic Block Evaluation using Quantified Boolean Satisfiability Andrew C. Ling, Deshanand P. Singh, and Stephen D. Brown, December 12, 2005 Abstract This paper describes a novel Field

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Development of tools supporting. MEANDER Design Framework

Development of tools supporting. MEANDER Design Framework Development of tools supporting FPGA reconfigurable hardware MEANDER Design Framework Presentation Outline Current state of academic design tools Proposed design flow Proposed graphical user interface

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Simultaneous Placement with Clustering and Duplication

Simultaneous Placement with Clustering and Duplication Simultaneous Placement with Clustering and Duplication GANG CHEN Magma Design Automation and JASON CONG UCLA Clustering, duplication, and placement are critical steps in a cluster-based FPGA design flow.

More information

Routing Wire Optimization through Generic Synthesis on FPGA Carry Chains

Routing Wire Optimization through Generic Synthesis on FPGA Carry Chains Routing Wire Optimization through Generic Synthesis on FPGA Carry Chains Hadi Parandeh-Afshar hadi.parandehafshar@epfl.ch Philip Brisk philip@cs.ucr.edu Grace Zgheib grace.zgheib@lau.edu.lb Paolo Ienne

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Embedded Programmable Logic Core Enhancements for System Bus Interfaces

Embedded Programmable Logic Core Enhancements for System Bus Interfaces Embedded Programmable Logic Core Enhancements for System Bus Interfaces Bradley R. Quinton, Steven J.E. Wilton Dept. of Electrical and Computer Engineering University of British Columbia {bradq,stevew}@ece.ubc.ca

More information

Digital Integrated Circuits

Digital Integrated Circuits Digital Integrated Circuits Lecture 9 Jaeyong Chung Robust Systems Laboratory Incheon National University DIGITAL DESIGN FLOW Chung EPC6055 2 FPGA vs. ASIC FPGA (A programmable Logic Device) Faster time-to-market

More information

Revisiting Genetic Algorithms for the FPGA Placement Problem

Revisiting Genetic Algorithms for the FPGA Placement Problem Revisiting Genetic Algorithms for the FPGA Placement Problem Peter Jamieson Miami University, Oxford, OH, 45056 Email: jamiespa@muohio.edu Abstract In this work, we present a genetic algorithm framework

More information

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs

Fast Timing-driven Partitioning-based Placement for Island Style FPGAs .1 Fast Timing-driven Partitioning-based Placement for Island Style FPGAs Pongstorn Maidee Cristinel Ababei Kia Bazargan Electrical and Computer Engineering Department University of Minnesota, Minneapolis,

More information

Configuration Bitstream Mapping with Programmable Resources on Spartan-3A FPGA using XDL and FAR

Configuration Bitstream Mapping with Programmable Resources on Spartan-3A FPGA using XDL and FAR Configuration Bitstream Mapping with Programmable Resources on Spartan-3A FPGA using XDL and FAR Pravin N. Matte #1, Dr. Dilip D. Shah *2 # Department of Electronics and Telecommunication, G.H. Raisoni

More information

Creation of Partial FPGA Configurations at Run-Time

Creation of Partial FPGA Configurations at Run-Time 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools Creation of Partial FPGA Configurations at Run-Time Miguel L. Silva DEEC, Faculdade de Engenharia Universidade

More information

A Software-Supported Methodology for Designing General-Purpose Interconnection Networks for Reconfigurable Architectures

A Software-Supported Methodology for Designing General-Purpose Interconnection Networks for Reconfigurable Architectures A Software-Supported Methodology for Designing General-Purpose Interconnection Networks for Reconfigurable Architectures Kostas Siozios, Dimitrios Soudris and Antonios Thanailakis Abstract Modern applications

More information

GOAHEAD: A Partial Reconfiguration Framework

GOAHEAD: A Partial Reconfiguration Framework GOAHEAD: A Partial Reconfiguration Framework Christian Beckhoff, Dirk Koch and Jim Torresen Department of Informatics, University of Oslo, Norway Email: {christian}@recobus.de, {koch,jimtoer}@ifi.uio.no

More information