An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs

Size: px
Start display at page:

Download "An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs"

Transcription

1 An FPGA Design And Implementation Framework Combined With Commercial VLSI CADs ReCoSoC 2013 Qian Zhao Motoki Amagasaki Masahiro Iida Morihiro Kuga Toshinori Sueyoshi (, Japan)

2 Background FPGA IP core development Make SoC programmable Requirements: Synthesizable Architecture exploration Scalability Other features (3D, dependability,etc) MCU ADC Mem. FPGA IP System-On-a-Chip Traditional academic flows are not applicable FPGA IP design framework is required Efficiently evaluate & implement various arch. Connect to commercial VLSI design flow 1

3 Goal of this research Goal: Develop an FPGA IP design framework Method: Develop novel routing tool EasyRouter New features: Implement new architectures easily C#(Mono) Platform (Linux/Windows) C# script based Arch. definition Arch. Exploration -> HDL & Bitstream generation Same arch. exploration functions as traditional tools Generate HDL & BitStream with simple templates Performance analysis with commercial VLSI flow 2

4 Compare with VPR VPR is the main academic placement & routing tool VPR6.0 (current version) Not for synthesizable FPGA Delay model is not suitable for standard cells based design Only support Island style FPGA Arch. is defined with XML&C Hard to implement new architectures Novel EasyRouter HDL & Bitstream generation High accuracy analysis with VLSI CADs C# language Script based arch. definition 3

5 VPR: XML based Arch. definition Only support Island Style FPGA Parameters can be changed in XML based Arch. File Example of making Switch box VPR void MakeSB(type, fs) { } Arch. File(XML based) type= Wilton fs="3" Only small changes can be made for the supposed Arch. For unsupported Arch., need to modify the source code 4

6 R H C VPR: Delay model L W ρ Wire model Routing delay C cb_to_lb L : calc. from LB area (length of segment wire) W, ρ,h : determined by process R=ρ L/H W C wire C from_switch Elmore delay model C to_switch C cb_from_lb Logic delay Logic Block Verilog Synthesis HDL(Gate) &.sdc Layout HDL(Gate) &.spef Static Timing Analysis Write delay info. to Arch. file Critical path delay (Composed with different delay model) 5

7 EasyRouter blocks Breadth_first & Timing_driven routing algorithm (same with VPR) EasyRouter Clustered netlist Placement result RRGraph building block Routing block FPGA architecture script file Arch. & physical parameters setup Netlist & placement files import RRGraph build HDL templates HDL & Bitstream Generation with routed info. Report Generation block HDL codes Generation block BitStream Generation block Mapped netlist Arch. Scrip File HDL templates Reports RRGraph: Routing-resource graph FPGA HDL codes Bitstream 6

8 Script based Arch. definition Implement FPGA Arch. in a script file Dynamic compiled during execution Contains both Arch. Implement codes and parameters Any architecture can be implemented in low cost Example of making Switch box EasyRouter Arch. Script load switch block object from c# script int Fs=3; Public switchbox makesb() { } Structure can be modified freely 7

9 Verilog & BitStream generation VerilogHDL Logic block Prepared in template Routing Generated according to Channel width / CB,SB topology Chip :Top level module according to array size BitStream Routing & Local connection block Generated according to routed info. Logic block Technology mapping results CB: Connection Block SB: Switch Box 8

10 FPGA design flow based on EasyRouter HDL or Blif file Clustered Netlist Placement result HDL Template Fixed Channel Width & Array Size VTR Project (ODIN II, ABC, VPR) EasyRouter (evaluation mode) HDL Codes (RTL) BitStream Clustered Netlists Placement results FPGA CADs EasyRouter (CW exploring mode) Formal Verification Formal Verification VLSI CADs Function Verification Synthesis HDL(Gate) &.sdc Layout HDL(Gate) &.spef Static Timing Analysis Minimum Channel Width ArraySize GDS Timing Report Standard Cell Library Device scale exploration Device implementation 9

11 Fast evaluation with EasyRouter The fast evaluation with EasyRouter can be used when VLSI analysis is too slow (big chip) No available VLSI flow(3d-fpga) Tile HDL code Clustered Netlists (.blif) Placement results Tile physical information Fixed Channel Width & Array Size Synthesis Layout STA Tile physical information EasyRouter (Fast performance analysis) Netlist & placement reading block RRGraph building block Routing block Report generation block Chip area & timing report (a) Tile info. (b) Fast evaluation with EasyRouter 10

12 Evaluation : EasyRouter Device structure Island style FPGA Item Logic cluster value 4-LUT 4 # of LB inputs 10 SB CB Channel Wilton(Fs=3) Fc_in=0.5, Fc_out=1.0 Single lines MCNC Benchmarks Evaluation items Minimum channel width for routability evaluation Execution time for tool efficiency evaluation 11

13 Minimum channel width (Routability) Channel width VPR EasyRouter Benchmarks EasyRouter has almost the same routability with VPR Minor differences are from different routing orders 12

14 Execution time (CPU time) Execution time normalized by VPR Benchmarks EasyRouter is 8 times slower than VPR on average Big circuits are about 5 times slower 13

15 Evaluation for proposed design flow Process:e-Shuttle 65nm Device parameters Item value Array size Logic cluster 4-LUT 4 # of LB inputs 10 SB CB IOB Wilton(Fs=3) Fc_in=0.5, Fc_out=1.0 Fc=1.0 # of routing tracks(single line) 50/channel MCNC Benchmarks Evaluation items Critical path delay on average(10 placement seeds) 14

16 Device architecture for evaluation IOB IOB IOB IOB CB_X SB IOB IOB Tile Tile Tile Tile Tile Tile Tile Tile IOB IOB Local Connection BLE_0 CB_Y IOB Tile Tile Tile Tile IOB BLE_1 IOB Tile Tile Tile Tile IOB BLE_2 IOB IOB IOB IOB LB BLE_3 Homogeneous FPGA Tile structure 15

17 Critical path delay results 250 Full FPGA STA Critical path delay (ns) EasyRouter VPR 0 s298 elliptic diffeq alu4 misex3 apex4 Benchmarks Delay from VPR is about 10x worse than STA results Delay from EasyRouter is about 1.5x worse then STA results 16

18 Conclusions EasyRouter Simple:New arch. can be implemented in low cost High accuracy:link academic FPGA tools with commercial VLSI CADs Other works with the proposed flow 3D-FPGA(VLSI-SoC2013) Three-Dimensional Stacking FPGA Architecture Using Face-to- Face Integration Hierarchy routing FPGA(FPL2013) Defect-Robust FPGA Architectures For Intellectual Property Cores In System LSI 17

19 Thank you for your attention.

20 VPR(MIN-MAX-AVG. value from 10 seeds results) Critical path delay (ns) ns 0.0 misex3 alu4 apex4 diffeq elliptic s298 Benchmarks Change range of delay results of VPR is large when placement seed value changes 19

21 EasyRouter(MIN-MAX-AVG. value from 10 seeds results) Critical path delay (ns) Benchmarks 4.17ns misex3 alu4 apex4 diffeq elliptic s298 Change range of delay results of STA results are smaller than VPR when placement seed changes 20

FPGA Design Framework Combined with Commercial VLSI CAD

FPGA Design Framework Combined with Commercial VLSI CAD 1602 PAPER Special Section on Reconfigurable Systems FPGA Design Framework Combined with Commercial VLSI CAD Qian ZHAO a), Nonmember, Kazuki INOUE, Student Member, Motoki AMAGASAKI, Masahiro IIDA, Morihiro

More information

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool

Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Synthesizable FPGA Fabrics Targetable by the VTR CAD Tool Jin Hee Kim and Jason Anderson FPL 2015 London, UK September 3, 2015 2 Motivation for Synthesizable FPGA Trend towards ASIC design flow Design

More information

A 3D FPGA Architecture to Realize Simple Die Stacking

A 3D FPGA Architecture to Realize Simple Die Stacking [DOI: 10.2197/ipsjtsldm.8.116] Short Paper A 3D FPGA Architecture to Realize Simple Die Stacking Motoki Amagasaki 1,a) Qian Zhao 1 Masahiro Iida 1 Morihiro Kuga 1 Toshinori Sueyoshi 1 Received: December

More information

Christophe HURIAUX. Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration

Christophe HURIAUX. Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration Mid-term Evaluation March 19 th, 2015 Christophe HURIAUX Embedded Reconfigurable Hardware Accelerators with Efficient Dynamic Reconfiguration Accélérateurs matériels reconfigurables embarqués avec reconfiguration

More information

Fast FPGA Routing Approach Using Stochestic Architecture

Fast FPGA Routing Approach Using Stochestic Architecture . Fast FPGA Routing Approach Using Stochestic Architecture MITESH GURJAR 1, NAYAN PATEL 2 1 M.E. Student, VLSI and Embedded System Design, GTU PG School, Ahmedabad, Gujarat, India. 2 Professor, Sabar Institute

More information

Designing Heterogeneous FPGAs with Multiple SBs *

Designing Heterogeneous FPGAs with Multiple SBs * Designing Heterogeneous FPGAs with Multiple SBs * K. Siozios, S. Mamagkakis, D. Soudris, and A. Thanailakis VLSI Design and Testing Center, Department of Electrical and Computer Engineering, Democritus

More information

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp

160 M. Nadjarbashi, S.M. Fakhraie and A. Kaviani Figure 2. LUTB structure. each block-level track can be arbitrarily connected to each of 16 4-LUT inp Scientia Iranica, Vol. 11, No. 3, pp 159{164 c Sharif University of Technology, July 2004 On Routing Architecture for Hybrid FPGA M. Nadjarbashi, S.M. Fakhraie 1 and A. Kaviani 2 In this paper, the routing

More information

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric.

SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, A Low-Power Field-Programmable Gate Array Routing Fabric. SUBMITTED FOR PUBLICATION TO: IEEE TRANSACTIONS ON VLSI, DECEMBER 5, 2007 1 A Low-Power Field-Programmable Gate Array Routing Fabric Mingjie Lin Abbas El Gamal Abstract This paper describes a new FPGA

More information

Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow

Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow Synthesizable FPGA Fabrics Targetable by the Verilog-to-Routing (VTR) CAD Flow Jin Hee Kim and Jason H. Anderson Dept. of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada

More information

RTL Coding General Concepts

RTL Coding General Concepts RTL Coding General Concepts Typical Digital System 2 Components of a Digital System Printed circuit board (PCB) Embedded d software microprocessor microcontroller digital signal processor (DSP) ASIC Programmable

More information

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France

Designing 3D Tree-based FPGA TSV Count Minimization. V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France Designing 3D Tree-based FPGA TSV Count Minimization V. Pangracious, Z. Marrakchi, H. Mehrez UPMC Sorbonne University Paris VI, France 13 avril 2013 Presentation Outlook Introduction : 3D Tree-based FPGA

More information

Vdd Programmability to Reduce FPGA Interconnect Power

Vdd Programmability to Reduce FPGA Interconnect Power Vdd Programmability to Reduce FPGA Interconnect Power Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA 90095 ABSTRACT Power is an increasingly important

More information

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures

Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures Academic Clustering and Placement Tools for Modern Field-Programmable Gate Array Architectures by Daniele G Paladino A thesis submitted in conformity with the requirements for the degree of Master of Applied

More information

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5)

EN2911X: Reconfigurable Computing Lecture 13: Design Flow: Physical Synthesis (5) EN2911X: Lecture 13: Design Flow: Physical Synthesis (5) Prof. Sherief Reda Division of Engineering, rown University http://scale.engin.brown.edu Fall 09 Summary of the last few lectures System Specification

More information

An overview of standard cell based digital VLSI design

An overview of standard cell based digital VLSI design An overview of standard cell based digital VLSI design Implementation of the first generation AsAP processor Zhiyi Yu and Tinoosh Mohsenin VCL Laboratory UC Davis Outline Overview of standard cellbased

More information

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES

SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES SPEED AND AREA TRADE-OFFS IN CLUSTER-BASED FPGA ARCHITECTURES Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose Right Track CAD Corp. #313-72 Spadina Ave. Toronto, ON, Canada M5S 2T9 {arm, vaughn,

More information

ECE 459/559 Secure & Trustworthy Computer Hardware Design

ECE 459/559 Secure & Trustworthy Computer Hardware Design ECE 459/559 Secure & Trustworthy Computer Hardware Design VLSI Design Basics Garrett S. Rose Spring 2016 Recap Brief overview of VHDL Behavioral VHDL Structural VHDL Simple examples with VHDL Some VHDL

More information

IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION. Andrew Somerville

IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION. Andrew Somerville IMPROVING MEMORY AND VALIDATION SUPPORT IN FPGA ARCHITECTURE EXPLORATION by Andrew Somerville Bachelor of Computer Science, University of New Brunswick, 2010 A Thesis Submitted in Partial Fulfillment of

More information

How Much Logic Should Go in an FPGA Logic Block?

How Much Logic Should Go in an FPGA Logic Block? How Much Logic Should Go in an FPGA Logic Block? Vaughn Betz and Jonathan Rose Department of Electrical and Computer Engineering, University of Toronto Toronto, Ontario, Canada M5S 3G4 {vaughn, jayar}@eecgutorontoca

More information

CAD Flow for FPGAs Introduction

CAD Flow for FPGAs Introduction CAD Flow for FPGAs Introduction What is EDA? o EDA Electronic Design Automation or (CAD) o Methodologies, algorithms and tools, which assist and automatethe design, verification, and testing of electronic

More information

Conclusions and Future Work. We introduce a new method for dealing with the shortage of quality benchmark circuits

Conclusions and Future Work. We introduce a new method for dealing with the shortage of quality benchmark circuits Chapter 7 Conclusions and Future Work 7.1 Thesis Summary. In this thesis we make new inroads into the understanding of digital circuits as graphs. We introduce a new method for dealing with the shortage

More information

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation

Testing & Verification of Digital Circuits ECE/CS 5745/6745. Hardware Verification using Symbolic Computation Testing & Verification of Digital Circuits ECE/CS 5745/6745 Hardware Verification using Symbolic Computation Instructor: Priyank Kalla (kalla@ece.utah.edu) 3 Credits Mon, Wed 1:25-2:45pm, WEB 2250 Office

More information

Development of tools supporting. MEANDER Design Framework

Development of tools supporting. MEANDER Design Framework Development of tools supporting FPGA reconfigurable hardware MEANDER Design Framework Presentation Outline Current state of academic design tools Proposed design flow Proposed graphical user interface

More information

Variation Aware Routing for Three-Dimensional FPGAs

Variation Aware Routing for Three-Dimensional FPGAs Variation Aware Routing for Three-Dimensional FPGAs Chen Dong, Scott Chilstedt, and Deming Chen Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign {cdong3, chilste1,

More information

A Routing Approach to Reduce Glitches in Low Power FPGAs

A Routing Approach to Reduce Glitches in Low Power FPGAs A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin Wong Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign This research

More information

On pin-to-wire routing in FPGAs. Niyati Shah

On pin-to-wire routing in FPGAs. Niyati Shah On pin-to-wire routing in FPGAs by Niyati Shah A thesis submitted in conformity with the requirements for the degree of Master of Applied Science and Engineering Graduate Department of Electrical & Computer

More information

Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs. Yingxuan Liu

Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs. Yingxuan Liu Toward More Efficient Annealing-Based Placement for Heterogeneous FPGAs by Yingxuan Liu A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department

More information

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration

mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration mrfpga: A Novel FPGA Architecture with Memristor-Based Reconfiguration Jason Cong Bingjun Xiao Department of Computer Science University of California, Los Angeles {cong, xiao}@cs.ucla.edu Abstract In

More information

Research Challenges for FPGAs

Research Challenges for FPGAs Research Challenges for FPGAs Vaughn Betz CAD Scalability Recent FPGA Capacity Growth Logic Eleme ents (Thousands) 400 350 300 250 200 150 100 50 0 MCNC Benchmarks 250 nm FLEX 10KE Logic: 34X Memory Bits:

More information

Timing-Driven Placement for FPGAs

Timing-Driven Placement for FPGAs Timing-Driven Placement for FPGAs Alexander (Sandy) Marquardt, Vaughn Betz, and Jonathan Rose 1 {arm, vaughn, jayar}@rtrack.com Right Track CAD Corp., Dept. of Electrical and Computer Engineering, 720

More information

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien

Hardware Modelling. Design Flow Overview. ECS Group, TU Wien Hardware Modelling Design Flow Overview ECS Group, TU Wien 1 Outline Difference: Hardware vs. Software Design Flow Steps Specification Realisation Verification FPGA Design Flow 2 Hardware vs. Software:

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid

An Introduction to FPGA Placement. Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR An Introduction to FPGA Placement Yonghong Xu Supervisor: Dr. Khalid RESEARCH CENTRE FOR INTEGRATED MICROSYSTEMS UNIVERSITY OF WINDSOR

More information

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA

A Path Based Algorithm for Timing Driven. Logic Replication in FPGA A Path Based Algorithm for Timing Driven Logic Replication in FPGA By Giancarlo Beraudo B.S., Politecnico di Torino, Torino, 2001 THESIS Submitted as partial fulfillment of the requirements for the degree

More information

Introduction to Verilog HDL

Introduction to Verilog HDL Introduction to Verilog HDL Ben Abdallah Abderazek National University of Electro-communications, Tokyo, Graduate School of information Systems May 2004 04/09/08 1 What you will understand after having

More information

FPGA: What? Why? Marco D. Santambrogio

FPGA: What? Why? Marco D. Santambrogio FPGA: What? Why? Marco D. Santambrogio marco.santambrogio@polimi.it 2 Reconfigurable Hardware Reconfigurable computing is intended to fill the gap between hardware and software, achieving potentially much

More information

Automated FPGA Design, Verification and Layout. Ian Carlos Kuon

Automated FPGA Design, Verification and Layout. Ian Carlos Kuon Automated FPGA Design, Verification and Layout by Ian Carlos Kuon A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate Department of Electrical and

More information

NORTHEASTERN UNIVERSITY Graduate School of Engineering

NORTHEASTERN UNIVERSITY Graduate School of Engineering NORTHEASTERN UNIVERSITY Graduate School of Engineering Thesis Title: Design and Analysis of Minimum Energy FPGAs Author: Peter Grossmann Department: Electrical and Computer Engineering Approved for Thesis

More information

Evolution of CAD Tools & Verilog HDL Definition

Evolution of CAD Tools & Verilog HDL Definition Evolution of CAD Tools & Verilog HDL Definition K.Sivasankaran Assistant Professor (Senior) VLSI Division School of Electronics Engineering VIT University Outline Evolution of CAD Different CAD Tools for

More information

FPGA Power and Timing Optimization: Architecture, Process, and CAD

FPGA Power and Timing Optimization: Architecture, Process, and CAD FPGA Power and Timing Optimization: Architecture, Process, and CAD Chun Zhang 1, Lerong Cheng 2, Lingli Wang 1* and Jiarong Tong 1 1 State-Key-Lab of ASIC & System, Fudan University llwang@fudan.edu.cn

More information

Mesh. Mesh Channels. Straight-forward Switching Requirements. Switch Delay. Total Switches. Total Switches. Total Switches?

Mesh. Mesh Channels. Straight-forward Switching Requirements. Switch Delay. Total Switches. Total Switches. Total Switches? ESE534: Computer Organization Previously Saw need to exploit locality/structure in interconnect Day 20: April 7, 2010 Interconnect 5: Meshes (and MoT) a mesh might be useful Question: how does w grow?

More information

New Successes for Parameterized Run-time Reconfiguration

New Successes for Parameterized Run-time Reconfiguration New Successes for Parameterized Run-time Reconfiguration (or: use the FPGA to its true capabilities) Prof. Dirk Stroobandt Ghent University, Belgium Hardware and Embedded Systems group Universiteit Gent

More information

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs

A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs A Methodology and Tool Framework for Supporting Rapid Exploration of Memory Hierarchies in FPGAs Harrys Sidiropoulos, Kostas Siozios and Dimitrios Soudris School of Electrical & Computer Engineering National

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures

Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Vdd Programmable and Variation Tolerant FPGA Circuits and Architectures Prof. Lei He EE Department, UCLA LHE@ee.ucla.edu Partially supported by NSF. Pathway to Power Efficiency and Variation Tolerance

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation

ECE 4514 Digital Design II. Spring Lecture 20: Timing Analysis and Timed Simulation ECE 4514 Digital Design II Lecture 20: Timing Analysis and Timed Simulation A Tools/Methods Lecture Topics Static and Dynamic Timing Analysis Static Timing Analysis Delay Model Path Delay False Paths Timing

More information

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis

Graphics: Alexandra Nolte, Gesine Marwedel, Universität Dortmund. RTL Synthesis Graphics: Alexandra Nolte, Gesine Marwedel, 2003 Universität Dortmund RTL Synthesis Purpose of HDLs Purpose of Hardware Description Languages: Capture design in Register Transfer Language form i.e. All

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

An FPGA Architecture Supporting Dynamically-Controlled Power Gating

An FPGA Architecture Supporting Dynamically-Controlled Power Gating An FPGA Architecture Supporting Dynamically-Controlled Power Gating Altera Corporation March 16 th, 2012 Assem Bsoul and Steve Wilton {absoul, stevew}@ece.ubc.ca System-on-Chip Research Group Department

More information

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors

Basic Block. Inputs. K input. N outputs. I inputs MUX. Clock. Input Multiplexors RPack: Rability-Driven packing for cluster-based FPGAs E. Bozorgzadeh S. Ogrenci-Memik M. Sarrafzadeh Computer Science Department Department ofece Computer Science Department UCLA Northwestern University

More information

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs

Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs { Logic Block Clustering of Large Designs for Channel-Width Constrained FPGAs Marvin Tom marvint @ ece.ubc.ca Guy Lemieux lemieux @ ece.ubc.ca Dept of ECE, University of British Columbia, Vancouver, BC,

More information

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE

Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6 ACCESS IC LAB Outline Concepts of Xilinx FPGA Xilinx FPGA Architecture Introduction to ISE Code Generator Constraints

More information

A CAD Framework for MALIBU: An FPGA with Time-multiplexed Coarse-Grained Elements. David Grant

A CAD Framework for MALIBU: An FPGA with Time-multiplexed Coarse-Grained Elements. David Grant A CAD Framework for MALIBU: An FPGA with Time-multiplexed Coarse-Grained Elements David Grant Supervisor: Dr. Guy Lemieux FPGA 2011 -- Feb 28, 2011 Motivation Growing Industry Trend: Large FPGA Circuits

More information

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles

Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Implementing Tile-based Chip Multiprocessors with GALS Clocking Styles Zhiyi Yu, Bevan Baas VLSI Computation Lab, ECE Department University of California, Davis, USA Outline Introduction Timing issues

More information

Parallelizing FPGA Technology Mapping using GPUs. Doris Chen Deshanand Singh Aug 31 st, 2010

Parallelizing FPGA Technology Mapping using GPUs. Doris Chen Deshanand Singh Aug 31 st, 2010 Parallelizing FPGA Technology Mapping using GPUs Doris Chen Deshanand Singh Aug 31 st, 2010 Motivation: Compile Time In last 12 years: 110x increase in FPGA Logic, 23x increase in CPU speed, 4.8x gap Question:

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Spiral 2-8. Cell Layout

Spiral 2-8. Cell Layout 2-8.1 Spiral 2-8 Cell Layout 2-8.2 Learning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as geometric

More information

Advanced FPGA Design Methodologies with Xilinx Vivado

Advanced FPGA Design Methodologies with Xilinx Vivado Advanced FPGA Design Methodologies with Xilinx Vivado Alexander Jäger Computer Architecture Group Heidelberg University, Germany Abstract With shrinking feature sizes in the ASIC manufacturing technology,

More information

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University

EE 466/586 VLSI Design. Partha Pande School of EECS Washington State University EE 466/586 VLSI Design Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 18 Implementation Methods The Design Productivity Challenge Logic Transistors per Chip (K) 10,000,000.10m

More information

Research Article FPGA Interconnect Topologies Exploration

Research Article FPGA Interconnect Topologies Exploration International Journal of Reconfigurable Computing Volume 29, Article ID 259837, 13 pages doi:1.1155/29/259837 Research Article FPGA Interconnect Topologies Exploration Zied Marrakchi, Hayder Mrabet, Umer

More information

Programmable Logic Devices HDL-Based Design Flows CMPE 415

Programmable Logic Devices HDL-Based Design Flows CMPE 415 HDL-Based Design Flows: ASIC Toward the end of the 80s, it became difficult to use schematic-based ASIC flows to deal with the size and complexity of >5K or more gates. HDLs were introduced to deal with

More information

Statistical Analysis and Design of HARP Routing Pattern FPGAs

Statistical Analysis and Design of HARP Routing Pattern FPGAs Statistical Analysis and Design of HARP Routing Pattern FPGAs Gang Wang Ý, Satish Sivaswamy Þ, Cristinel Ababei Þ, Kia Bazargan Þ, Ryan Kastner Ý and Eli Bozorgzadeh ÝÝ Ý Dept. of ECE Þ ECE Dept. ÝÝ Computer

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

EE 434 ASIC & Digital Systems

EE 434 ASIC & Digital Systems EE 434 ASIC & Digital Systems Dae Hyun Kim EECS Washington State University Spring 2018 Course Website http://eecs.wsu.edu/~ee434 Themes Study how to design, analyze, and test a complex applicationspecific

More information

Reconfigurable Computing

Reconfigurable Computing Reconfigurable Computing FPGA Architecture Architecture should speak of its time and place, but yearn for timelessness. Frank Gehry Philip Leong (philip.leong@sydney.edu.au) School of Electrical and Information

More information

FPGA Design Flow 1. All About FPGA

FPGA Design Flow 1. All About FPGA FPGA Design Flow 1 In this part of tutorial we are going to have a short intro on FPGA design flow. A simplified version of FPGA design flow is given in the flowing diagram. FPGA Design Flow 2 FPGA_Design_FLOW

More information

FPGA Power Reduction Using Configurable Dual-Vdd

FPGA Power Reduction Using Configurable Dual-Vdd FPGA Power Reduction Using Configurable Dual-Vdd 45.1 Fei Li, Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA {feil, ylin, lhe}@ee.ucla.edu ABSTRACT Power

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

PRODUCT-TERM BASED SYNTHESIZABLE EMBEDDED PROGRAMMABLE LOGIC CORES. Andy Chee Wai Yan B.A.Sc, University of British Columbia, 2002

PRODUCT-TERM BASED SYNTHESIZABLE EMBEDDED PROGRAMMABLE LOGIC CORES. Andy Chee Wai Yan B.A.Sc, University of British Columbia, 2002 PRODUCT-TERM BASED SYNTHESIZABLE EMBEDDED PROGRAMMABLE LOGIC CORES by Andy Chee Wai Yan B.A.Sc, University of British Columbia, 2002 A thesis submitted in partial fulfillment of the requirements for the

More information

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration

Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Routing Path Reuse Maximization for Efficient NV-FPGA Reconfiguration Yuan Xue, Patrick ronin, hengmo Yang and Jingtong Hu 01/27/2016 Outline Introduction NV-FPGA benefits and challenges Routing optimization

More information

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University

Abbas El Gamal. Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program. Stanford University Abbas El Gamal Joint work with: Mingjie Lin, Yi-Chang Lu, Simon Wong Work partially supported by DARPA 3D-IC program Stanford University Chip stacking Vertical interconnect density < 20/mm Wafer Stacking

More information

Open-Source tools for FPGA development

Open-Source tools for FPGA development October 13, 2016 Marek Vasut Software engineer at DENX S.E. since 2011 Embedded and Real-Time Systems Services, Linux kernel and driver development, U-Boot development, consulting, training Versatile Linux

More information

LSN 1 Digital Design Flow for PLDs

LSN 1 Digital Design Flow for PLDs LSN 1 Digital Design Flow for PLDs ECT357 Microprocessors I Department of Engineering Technology LSN 1 Programmable Logic Devices Functionless devices in base form Require programming to operate The logic

More information

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology

Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 392 398 Testability Optimizations for A Time Multiplexed CPLD Implemented on Structured ASIC Technology Traian TULBURE

More information

Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization

Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization Mapping-aware Logic Synthesis with Parallelized Stochastic Optimization Zhiru Zhang School of ECE, Cornell University September 29, 2017 @ EPFL A Case Study on Digit Recognition bit6 popcount(bit49 digit)

More information

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing

ECEN 449 Microprocessor System Design. FPGAs and Reconfigurable Computing ECEN 449 Microprocessor System Design FPGAs and Reconfigurable Computing Some of the notes for this course were developed using the course notes for ECE 412 from the University of Illinois, Urbana-Champaign

More information

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach

Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Detailed Router for 3D FPGA using Sequential and Simultaneous Approach Ashokkumar A, Dr. Niranjan N Chiplunkar, Vinay S Abstract The Auction Based methodology for routing of 3D FPGA (Field Programmable

More information

Workspace for '4-FPGA' Page 1 (row 1, column 1)

Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 1 (row 1, column 1) Workspace for '4-FPGA' Page 2 (row 2, column 1) Workspace for '4-FPGA' Page 3 (row 3, column 1) ECEN 449 Microprocessor System Design FPGAs and Reconfigurable

More information

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips

Overview. CSE372 Digital Systems Organization and Design Lab. Hardware CAD. Two Types of Chips Overview CSE372 Digital Systems Organization and Design Lab Prof. Milo Martin Unit 5: Hardware Synthesis CAD (Computer Aided Design) Use computers to design computers Virtuous cycle Architectural-level,

More information

Cell-Based Design Flow. TA : 吳廸優

Cell-Based Design Flow. TA : 吳廸優 Cell-Based Design Flow TA : 吳廸優 dywu@viplab.cs.nctu.edu.tw 1 Outline Overview Design Flow Stage 1 RTL Development Synthesis Gate Level Simulation Design Flow Stage 2 Placement and Routing Post Layout Simulation

More information

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction

Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction 44.1 Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

Architecture Evaluation for

Architecture Evaluation for Architecture Evaluation for Power-efficient FPGAs Fei Li*, Deming Chen +, Lei He*, Jason Cong + * EE Department, UCLA + CS Department, UCLA Partially supported by NSF and SRC Outline Introduction Evaluation

More information

Embedded Programmable Logic Core Enhancements for System Bus Interfaces

Embedded Programmable Logic Core Enhancements for System Bus Interfaces Embedded Programmable Logic Core Enhancements for System Bus Interfaces Bradley R. Quinton, Steven J.E. Wilton Dept. of Electrical and Computer Engineering University of British Columbia {bradq,stevew}@ece.ubc.ca

More information

Introduction Warp Processors Dynamic HW/SW Partitioning. Introduction Standard binary - Separating Function and Architecture

Introduction Warp Processors Dynamic HW/SW Partitioning. Introduction Standard binary - Separating Function and Architecture Roman Lysecky Department of Electrical and Computer Engineering University of Arizona Dynamic HW/SW Partitioning Initially execute application in software only 5 Partitioned application executes faster

More information

Hardware Modeling. VHDL Architectures. Vienna University of Technology Department of Computer Engineering ECS Group

Hardware Modeling. VHDL Architectures. Vienna University of Technology Department of Computer Engineering ECS Group Hardware Modeling VHDL Architectures Vienna University of Technology Department of Computer Engineering ECS Group Contents Structural Modeling Instantiation of Components Behavioral Modeling Processes

More information

VTR 7.0: Next Generation Architecture and CAD System for FPGAs

VTR 7.0: Next Generation Architecture and CAD System for FPGAs 6 VTR 7.0: Next Generation Architecture and CAD System for FPGAs JASON LUU, University of Toronto JEFFREY GOEDERS, University of British Columbia MICHAEL WAINBERG, University of Toronto ANDREW SOMERVILLE,

More information

Digital Systems Design. System on a Programmable Chip

Digital Systems Design. System on a Programmable Chip Digital Systems Design Introduction to System on a Programmable Chip Dr. D. J. Jackson Lecture 11-1 System on a Programmable Chip Generally involves utilization of a large FPGA Large number of logic elements

More information

ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing

ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing ERCBench An Open-Source Benchmark Suite for Embedded and Reconfigurable Computing Daniel Chang Chris Jenkins, Philip Garcia, Syed Gilani, Paula Aguilera, Aishwarya Nagarajan, Michael Anderson, Matthew

More information

Device And Architecture Co-Optimization for FPGA Power Reduction

Device And Architecture Co-Optimization for FPGA Power Reduction 54.2 Device And Architecture Co-Optimization for FPGA Power Reduction Lerong Cheng, Phoebe Wong, Fei Li, Yan Lin, and Lei He Electrical Engineering Department University of California, Los Angeles, CA

More information

The Speed of Diversity: Exploring Complex FPGA Routing Topologies for the Global Metal Layer

The Speed of Diversity: Exploring Complex FPGA Routing Topologies for the Global Metal Layer The Speed of Diversity: Exploring Complex FPGA Routing Topologies for the Global Metal Layer Oleg Petelin and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto,

More information

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning

Lecture 8: Synthesis, Implementation Constraints and High-Level Planning Lecture 8: Synthesis, Implementation Constraints and High-Level Planning MAH, AEN EE271 Lecture 8 1 Overview Reading Synopsys Verilog Guide WE 6.3.5-6.3.6 (gate array, standard cells) Introduction We have

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Oct 26, 2018 Usage 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1

Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1 Interconnect Driver Design for Long Wires in Field-Programmable Gate Arrays1 Edmund Lee, Guy Lemieux, Shahriar Mirabbasi University of British Columbia, Vancouver, Canada { eddyl lemieux shahriar } @ ece.ubc.ca

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Mar 08, 2018 Contents 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

Verilog-to-Routing Documentation

Verilog-to-Routing Documentation Verilog-to-Routing Documentation Release 8.0.0-dev VTR Developers Jul 24, 2018 Usage 1 VTR 3 1.1 Get VTR................................................. 3 1.2 Install VTR................................................

More information

ESL design with the Agility Compiler for SystemC

ESL design with the Agility Compiler for SystemC ESL design with the Agility Compiler for SystemC SystemC behavioral design & synthesis Steve Chappell & Chris Sullivan Celoxica ESL design portfolio Complete ESL design environment Streaming Video Processing

More information

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye

Automated system partitioning based on hypergraphs for 3D stacked integrated circuits. FOSDEM 2018 Quentin Delhaye Automated system partitioning based on hypergraphs for 3D stacked integrated circuits FOSDEM 2018 Quentin Delhaye Integrated circuits: Let s go 3D Building an Integrated Circuit (IC) Transistors to build

More information

Buffer Design and Assignment for Structured ASIC *

Buffer Design and Assignment for Structured ASIC * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 30, 107-124 (2014) Buffer Design and Assignment for Structured ASIC * Department of Computer Science and Engineering Yuan Ze University Chungli, 320 Taiwan

More information