On Packet Switched Networks for On-Chip Communication

Size: px
Start display at page:

Download "On Packet Switched Networks for On-Chip Communication"

Transcription

1 On Packet Switched Networks for On-Chip Communication Embedded Systems Group Department of Electronics and Computer Engineering School of Engineering, Jönköping University Jönköping 1 Outline : Part 1 Introduction Evolution of Network on Chip Direct Connections Buses Platforms Networks Interconnection Network Concepts and Issues Data Integrity and Data Loss Ordering Dead Locks Destination Naming Latency and Bandwidth Bisection-Cut Network Load Routing Modes 2

2 Outline : Part 2 Network on Chip Architectures and Design KTH NoC Architecture Switch/Router Designs Resource Network Interface The Philips Router 2-D fabric Crossbow Other proposals 3 Outline: Part 3 Layered Communication in NoC NoC Protocols NoC Architecture : Open issues On-Chip Memory Evaluation of NoC Architectures Architectural Parameters Application Parameters Performance Parameters Conclusions Some references 4

3 An Interesting Cross-road FPGA Chip Design SoC Chip Multiprocessors Computer Architecture 5 An Interesting Cross-road FPGA Chip Design SoC Chip Multiprocessors Computer Architecture NoC 6

4 Merging Roads NoC Computer Networks 7 Driving Forces for Billion Transistors Architectures Scalability Reusuability Cores Software O.S. Higher Abstraction Levels Small Engineering Cost Small Time to market 8

5 Chip Multi-processor Road Question: New computer architecture which can use such a high available capacity? Options: Super-computer on a chip: Powerful instruction set; Higher accuracy, On-chip main memory, On-chip interfaces; Super-scalar Architecture Multi-threaded super-scalar architecture Multi-processor on a chip 9 Evolution: ASIC Vs. PLDs Building Blocks/ Architecture ASIC Cores PBD RTL Library Cores FPGAs Cell Lib. CLBs Custom Gates Time

6 Large FPGAs: Cluster- Based Logic Blocks Logic Cluster Pins: Larger capacity of FPGA requires more pins ( Rent s Rule). Capacity : No. of gates / No. of CLBs/ No. of clusters of CLBs Long-wires:?? CLB CLB 11 SoCs Today 12

7 General Architecture of Core Based SoCs P1 P2 P3 P4 M1 Glue Logic M2 CODEC FFT DSP FPGA Serial Ports 13 Options for connecting cores Hardwire core to core connections Programmable connections Buses Circuit Switched interconnection network Packet switched communication 14

8 Bus based connections Bus Controller RISC Mem Graphic Controller DSP Simple to wire Not scalable Low bandwidth Useful for small chips 15 Hierarchical bus based connections Bus Level 1 Bus Level 2 Bus Controller 16

9 Platform Based Design Fixed interconnection infrastructure Time-share the resources Bus based platform is not scalable P DSP Core2 FFT Memory 17 Limitation of buses Scalability: Shared buses donot scale beyond 8 resources Latency The delay is decided by longest physical distance between two resources Contention for the bus and arbitration slows down data movement Bus based systems are suitable when the communication requirement is: Low on average communication Only a few resources are sources- majority are destinations. 18

10 Circuit Switched Communications An electrical path is established from source till destination for the duration of communication. At every cross point there is a programmable switch. 1 2 Switch Matrix 3 4 A B C D 19 Advantages/Limitation of Circuit Switching Circuit Switching similar to previous slide has been proposed in PROPHID SoC Architecture[5] + Higher and guaranteed point to point bandwidth Useful for real time video-processing applications - Lack of reactivity to traffic and random traffic - Not very scalable and adaptable 20

11 Packet Switched Network A packet switch network also consists of a network of switches (many times called routers). The data moves from source to destination in small formatted blocks called packets. Packet consists of Header, Payload, Terminator Header: Destination/source address, error detection/correction bits, priority, etc. Payload: Actual data Trailor: To identify the packet if the packet size is variable A packet may go through many switches before reaching the destination 21 Advantages/Limitations of Packet Switching + Routing is reactive to traffic + The network is very scalable and easily adaptable to new applications - Overheads - Contentions in Switches and higher latency - Difficult to gaurantee performance 22

12 Network Issues: Integrity and Data Loss Data Reliability and Integrity The received data is identical to the sent data Data Loss Correction/retransmission if error is detected Due to error introduced by the network Due to contention for network link or lack of buffer space Mechanisms: Acknowledgement Retransmission 23 Data Ordering and Deadlocks Data Ordering Data to destination may reach out of order Different paths in the network Data loss Mechanism to ensure ordering at destination Deadlocks A situation in which the flow of data is blocked Circular paths in the network Limited Buffer capacity and circular dependency Solution Avoid circular paths Dynamic routing 24

13 Destination Naming/Addressing Destination Naming/Addressing Bus: data available to all destinations Destination do the address decoding Multi-casting is very easy Network Routers/Switches must decide the direction Multi-casting is difficult Can cause flooding of network 25 Latency Definition: Network latency is the time to transfer n bytes of information from its source to its destination. Time(n) S-D = Routing Delay + Contention Delay + Channel Occupancy + Overhead Routing Delay: Depends on the distance between source and destination Channel Occupancy: Depends on extra bits for header, error detection and correction, control signals etc. Contention Delay: Overheads: 26

14 Bandwidth In general, bandwidth refers to the rate at which data can me moved. Two views of Bandwidth: Global aggregate bandwidth available Estimate of time to move M bytes of data in a network with bandwidth B is = M/B Assumes uniformly distributed traffic in the network Local individual bandwidth available for a node Depends on raw bandwidths of links connected to the node Also depends on delay due routing decisions and contentions 27 Bisection Bandwidth Bisection Cut removes minimum number of channels from the network such that the network is partitioned into two equal networks. The sum of the bandwidth of the removed channels is the Bisection Bandwidth Bisection Cut Bisection bandwidth gives the upper limit on the communication between two halves of network. 28

15 Network Load Network Load is the measure of the actual communication traffic in the network with respect to maximum possible traffic. Maximum traffic rate = Number of links * link bandwidth Network Load Actual traffic rate = ( Total data transfered in the network)/ Time Average load = Actual traffic rate/ Maximum traffic rate 29 Routing Mode Store and Forward A complete packet moves from one router to the next Storage required for the full packet Packet can only be forwarded further after complete reception Higher communication delay Virtual-Cut Routing A packet can be forwarded if the next router is ready to receive the full packet Every router in the chain require full packet buffer Parts of packets in a chain of routers: low latency till destination Warm-hole Routing Packets partitioned into flits The first flit of a packet finds and reserve a path for the complete packet. Low buffer requirement and low latency 30

16 Interconnection Network Parameters Topology Types of nodes: Resources and Switches Regular/irregular Direct/indirect Routing Algorithm Static vs. Dynamic Decides delay, congestion and deadlocks Switching Strategy Circuit switched vs. Packet switched Network utilization is higher in packet switched networks Flow control Buffering, packetization, dropping packets, 31 KTH-NoC Architecture Overview Switch Resource Slot Scalable packet switched communication infrastructure Physical-Architectural Level design integration: o A Resource must fit in the slot o Layout same as topology -Predictable electrical properties 32

17 Architectural-Physical Level Design Integration The layout of the chip matches with the architecture Placement and route tool will not change the macrolevel floor plan Electrical properties like delay, cross talk, signal quality is predictable and controllable Some masks of NoC chip can be shared among applications- leads to sharing of cost of the masks. 33 Resource-Network Interface Resource RNI Resource Types: Processor of any type with/without local memory Memory IP Functional Cores FPGAs Dedicated Hardware block 34

18 NoC Router/Switch with Input Buffer 35 Buffer-less Switch Motivation: a small switch Basic Idea: A packet which enters the switch is sent out through the best possible direction Contention resolved through priority Priority Number of hops already made: hop counter with every packet Distance to the destination 36

19 Buffer-less Switch: Example N E S E 37 Buffer Less Switch: Internals Controller E E W N S Controller generates select signals for MUXs Priority based on network traffic and packet header N S W 38

20 Routing Algorithms Static Algorithms: The path(s) from source to destination is(are) fixed. Shortest paths Contention for links resolved using priority Low priority message may have very high latency Dynamic Algorithms: The path is decided dynamically looking at the other traffic in the network Contention for links: resolved using priority Better average performance than static routing Better link utilization Lower average delay 39 NoC layout: Square Switch -Technology: 60 nm -22 mm X 22 mm -Switch: 0.2mm X 0.2mm Resource Slot: 2mm X 2mm -100 resources -256 wires in each direction

21 NoC Layout: Thin Switch Resource Switch Compact Layout as compared to square switch Wiring over the resources 41 Comparison with communication in core based SoC. Send( B, Data) A 64 B Send(C, Data) Two Send commands will be implemented differently by A Interfaces A-B and A-C may use different protocols C 42

22 Application Layer in NoC Architecture. Send( B, Data) A C Virtual Channel Send(C, Data) Network grantees that a message can be reliably sent from any source to any destination. B 43 Network Layer in NoC Architecture C Packet size vs. word size Packets routed independently Routing algorithm Static vs. dynamic Priority classes Buffer in switch A B 44

23 Data-link layer C Moving a word from one switch to a neighboring switch using interconnection resources A Error detection and correction Encoding for efficiency B 45 Data Format at various layers Message Transport Layer Addr PSN Part1 Network Layer Pri Header Payload Data Link Layer EC Physical Layer Word 46

24 Protocol Space for NoC Performance Direct Connections NoC protocols Internet Protocols Flexibility/Generality/Overheads 47 Protocol Decisions Driving forces Simplicity Efficiency Hardware cost Decisions Fixed packet width One packet per word No acknowledgement at Data Link Level Unequal Error protection for various fields of word at data link level Only header field in the word may be provided with error detection and correction capability 48

25 Concept of Region Resources larger than a slot FPGA Shared Memory blocks Special parallel processor Wrapper will make the region transparent to outside traffic Communication within a region could happen differently than outside Wrapper 49 Typical Regions in NoC Audio Processing Region External Interfaces Region Video Processing Region 50

26 Other NoC Architectures Many other researchers have proposed packet switched networks for System on Chips[1,4,6] The basic ideas are similar, the details are quite different 51 Scalable, Programmable Integrated Network (SPIN) Provides Packet switched system on chip interconnections The topology of the network is based on fat trees Nodes are connected by two one-way 32-bit links. Provide layered communication protocols 52

27 A fat-tree network Fat tree has been shown to be suitable for VLSI implementation. Two stages of routers nodes at the leaf levels 53 Philips Router Architecture Handles two traffic classes Guaranteed Throughput (GT) Routing Provides reliable connection oriented service In-order packet transmission Guaranteed Bandwidth Best Effort (BE) Routing Loss-less connection ( No dropped packets) Uses input queuing Also delivers packets in order 54

28 Router Architecture The router has a N X N cross-bar switch inside it Routing Table Routing Table N rows with S slots Each row has connection information for each output A column represents a time slot For GT connections slots are reserved 55 2_D Interconnection Fabric A standardized wrapper around cores to get a two dimensional logical topology. Specially suitable for making mesh connected multi-processor systems Can be used for connecting FPGAs, Processors and other cores if a proper wrapper is added. More Information at: 56

29 2_D Fabric Architecture Wrapper One Layer Signal Routing, No Glue-Logic Shashi Required Kumar 57 Packet Switched Networks: Handling Memories Most of the real chips will have over 60% area used for memory Memory access through packet switching mechanism is very inefficient. Direct connections to nearby memories will be very useful. 58

30 Hybrid Interconnections: best of both worlds Circuit switched: resource to resource connections Packet switched communication through switch matrix Desirable: Main application is going to be system emulation 59 Options for a Resource RSI Processor Processor Mem Memory 60

31 Hybrid NoC with Memory 61 NoC for a Data Intensive applications Shared Memory Blocks 62

32 Tools for NoC Architecture Design NoC Specialization Tools Resource Selection and Specialization Tool Protocol selection and specialization tool Code and Configuration Generation Tools NoC Evaluation Tool NoC Architecture Simulator NoC Emulators 63 NoC Simulators Objectives: To evaluate various design options in NoC architecture Switch Design Buffer Size vs. performance( delay, drop probability) Routing Algorithm Protocols Performance Parameters Delay in packets Drop probability Congestion and hot spots 64

33 NoC Simulation using ns-2 (by Yi-Ran Sun) ns-2 is a public domain network simulator from Univ. of Berkeley Has been extensively been used for research and teaching of computer networks Facilities Definition of network topology Selection of communication protocols: TCP, UDP Selection of routing algorithms Provision to add user defined protocols, routing algorithms, traffic generation Provision for visualization, traces, statistics collection,.. 65 Simulation Experiments Architectural Parameters Topology: 5 X 5 Protocol: UDP( no acknowledgement) Link Bandwidth: 200 Mbits/sec Routing algorithm: Static-shortest distance to destination Application Parameters Communication Traffic: bursty traffic with strong bias for locality Performance Experiments Packet delay vs. buffer size Drop probability vs. buffer size Packet delay vs. network load Drop probability vs. network load 66

34 Drop probability vs. Buffer size Network-on-Chip(NOC) Simulation 24 Yiran Sun, ESDLab, 17, December, Drop probability vs. Communication load Network-on-Chip(NOC) Simulator Simulation 26 Communication load Yiran Sun, ESDLab, 17 December,

35 Modeling NoC in SDL ( Jönköping) Objectives Explore Router Design Both input and output buffers Effect of router speed vs. resource speed Bursty Traffic Model Generic model of NoC Any size of NoC can be modeled Delay in various components in NoC can be specified Simulation can be done at any layer of protocol Data link or Network layer 69 Simulation Experiments Architectural Parameters Topology: 4 X 4 Both input and output buffers Protocol: Simple data format with 1 byte pay-load No error detection and correction at data link level Transfer of whole packet in one word Routing algorithm: A simple adaptive algorithm Application Parameters Communication Traffic: Heavy bursty traffic Performance Experiments Throughput with buffer sizes and router clock Dropped Packets Average packet delay Spread : Percentage of packets taking longer than shortest route 70

36 Simulation Results (1) 0,4 0,5 0,6 Number of transferred packets Number of transferred packets (14 Bursty Resources, 8 pkts per Burst) :01 01:02 01:03 02:01 02:02 03:01 Bufferconfig (In:Out) 71 Simulation Results (2) Transfer time (14 Bursty Resources, 8 pkts per Burs t) 0,4 0,5 0,6 Network Speed, Period Time 01:01 01:02 01:03 02:01 02:02 03:01 72

37 Simulation Results (3) Number or dropped packets 0,4 0,5 Number of dropped packets 0,6 (14 Bursty Resources, 8 pkts per Burst) :01 01:02 01:03 02:01 02:02 03:01 Bufferconfiguration (In:Out) 73 Simulation Results (5) Spreading 60% 40% 20% 0% 01:01 01:02 01:03 02:01 02:02 03:01 Clk=0,8 48% 8% 5% 38% 10% 41% Clk=0,7 34% 5% 3% 33% 3% 31% Clk=0,5 11% 2% 1% 9% 1% 9% 74

38 Conclusions Network on Chip Architecture is an importnat choice for future SoCs Provides reusability at various levels Scalable General purpose Various types of tools will be required before NoC Architecture can be used for SoC design NoC Architecture based SoC design can learn a lot from computer network design Handling memory within NoC is an important open problem. 75 References 1. On Packet Switched Networks for On_chip communication, Chapter 5 in the book entitled Network on Chip, edited by Axel Jantsch and Hannu Tenhunen, Kluwer Publishers, L. Benini and G. DeMicheli, Network on Chip: a new SoC paradigm, IEEE Computer Jan Ahmed Hemani et. al., Network on Chip: An Architecture for billion transistor era, Proc. Of the IEEE NorChip Conference, Nov et. al. A Network on Chip Architecture and Design Methodology, Proceedings of IEEE International Symposium on VLSI 2002( ISVLSI 2002), April, 2002, Pittsburgh, Pennsylvania, USA. 5. Pierre Guerrier and Alain Greiner, A Generic Architecture for On Chip Packet-Switched Interconnections, Proceedings of Design, Automation and test in Europe, pp ,

39 References 6. Michael Bedford Taylor et. al., The RAW Microprocessor: A computational fabric for software circuits and general purpose programs, IEEE Micro, pp 25-35, W. Dally and B. Towles, Route Packets, Not wires: on chip Interconnection Networks, Proceedings of the Design Automation Conference, June Rickard Holsmark and Magnus Högberg, Modeling and Prototyping of a Network on Chip, Master thesis, Department of Electronics and Computer Engineering, School of Engineering, Jönköping Univ

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS 1 JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS Shabnam Badri THESIS WORK 2011 ELECTRONICS JUNCTION BASED ROUTING: A NOVEL TECHNIQUE FOR LARGE NETWORK ON CHIP PLATFORMS

More information

Networks-on-Chip Router: Configuration and Implementation

Networks-on-Chip Router: Configuration and Implementation Networks-on-Chip : Configuration and Implementation Wen-Chung Tsai, Kuo-Chih Chu * 2 1 Department of Information and Communication Engineering, Chaoyang University of Technology, Taichung 413, Taiwan,

More information

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems

MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems MinRoot and CMesh: Interconnection Architectures for Network-on-Chip Systems Mohammad Ali Jabraeil Jamali, Ahmad Khademzadeh Abstract The success of an electronic system in a System-on- Chip is highly

More information

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University

Design and Test Solutions for Networks-on-Chip. Jin-Ho Ahn Hoseo University Design and Test Solutions for Networks-on-Chip Jin-Ho Ahn Hoseo University Topics Introduction NoC Basics NoC-elated esearch Topics NoC Design Procedure Case Studies of eal Applications NoC-Based SoC Testing

More information

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms

Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Extended Junction Based Source Routing Technique for Large Mesh Topology Network on Chip Platforms Usman Mazhar Mirza Master of Science Thesis 2011 ELECTRONICS Postadress: Besöksadress: Telefon: Box 1026

More information

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology

SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology SoC Design Lecture 13: NoC (Network-on-Chip) Department of Computer Engineering Sharif University of Technology Outline SoC Interconnect NoC Introduction NoC layers Typical NoC Router NoC Issues Switching

More information

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip

Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Routing Algorithms, Process Model for Quality of Services (QoS) and Architectures for Two-Dimensional 4 4 Mesh Topology Network-on-Chip Nauman Jalil, Adnan Qureshi, Furqan Khan, and Sohaib Ayyaz Qazi Abstract

More information

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik

SoC Design. Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik SoC Design Prof. Dr. Christophe Bobda Institut für Informatik Lehrstuhl für Technische Informatik Chapter 5 On-Chip Communication Outline 1. Introduction 2. Shared media 3. Switched media 4. Network on

More information

EE/CSCI 451: Parallel and Distributed Computation

EE/CSCI 451: Parallel and Distributed Computation EE/CSCI 451: Parallel and Distributed Computation Lecture #8 2/7/2017 Xuehai Qian Xuehai.qian@usc.edu http://alchem.usc.edu/portal/xuehaiq.html University of Southern California 1 Outline From last class

More information

Interconnection Networks

Interconnection Networks Lecture 17: Interconnection Networks Parallel Computer Architecture and Programming A comment on web site comments It is okay to make a comment on a slide/topic that has already been commented on. In fact

More information

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC)

FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) FPGA based Design of Low Power Reconfigurable Router for Network on Chip (NoC) D.Udhayasheela, pg student [Communication system],dept.ofece,,as-salam engineering and technology, N.MageshwariAssistant Professor

More information

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing

A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 727 A Dynamic NOC Arbitration Technique using Combination of VCT and XY Routing 1 Bharati B. Sayankar, 2 Pankaj Agrawal 1 Electronics Department, Rashtrasant Tukdoji Maharaj Nagpur University, G.H. Raisoni

More information

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow

FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow FCUDA-NoC: A Scalable and Efficient Network-on-Chip Implementation for the CUDA-to-FPGA Flow Abstract: High-level synthesis (HLS) of data-parallel input languages, such as the Compute Unified Device Architecture

More information

Lecture 7: Flow Control - I

Lecture 7: Flow Control - I ECE 8823 A / CS 8803 - ICN Interconnection Networks Spring 2017 http://tusharkrishna.ece.gatech.edu/teaching/icn_s17/ Lecture 7: Flow Control - I Tushar Krishna Assistant Professor School of Electrical

More information

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC

DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC DESIGN OF EFFICIENT ROUTING ALGORITHM FOR CONGESTION CONTROL IN NOC 1 Pawar Ruchira Pradeep M. E, E&TC Signal Processing, Dr. D Y Patil School of engineering, Ambi, Pune Email: 1 ruchira4391@gmail.com

More information

Lecture 2: Topology - I

Lecture 2: Topology - I ECE 8823 A / CS 8803 - ICN Interconnection Networks Spring 2017 http://tusharkrishna.ece.gatech.edu/teaching/icn_s17/ Lecture 2: Topology - I Tushar Krishna Assistant Professor School of Electrical and

More information

Some issues in Application Specific Network on Chip Design

Some issues in Application Specific Network on Chip Design Some issues in Application Specific Network on Chip Design Embedded Systems Group Department of Electronics and Computer Engineering School of Engineering, Jönköping University 1 Outline Introduction:

More information

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson

Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies. Mohsin Y Ahmed Conlan Wesson Fault Tolerant and Secure Architectures for On Chip Networks With Emerging Interconnect Technologies Mohsin Y Ahmed Conlan Wesson Overview NoC: Future generation of many core processor on a single chip

More information

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari

Noc Evolution and Performance Optimization by Addition of Long Range Links: A Survey. By Naveen Choudhary & Vaishali Maheshwari Global Journal of Computer Science and Technology: E Network, Web & Security Volume 15 Issue 6 Version 1.0 Year 2015 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global Journals

More information

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP

Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Processor Architectures At A Glance: M.I.T. Raw vs. UC Davis AsAP Presenter: Course: EEC 289Q: Reconfigurable Computing Course Instructor: Professor Soheil Ghiasi Outline Overview of M.I.T. Raw processor

More information

Network on Chip Architecture: An Overview

Network on Chip Architecture: An Overview Network on Chip Architecture: An Overview Md Shahriar Shamim & Naseef Mansoor 12/5/2014 1 Overview Introduction Multi core chip Challenges Network on Chip Architecture Regular Topology Irregular Topology

More information

Akash Raut* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3,

Akash Raut* et al ISSN: [IJESAT] [International Journal of Engineering Science & Advanced Technology] Volume-6, Issue-3, A Transparent Approach on 2D Mesh Topology using Routing Algorithms for NoC Architecture --------------- Dept Electronics & Communication MAHARASHTRA, India --------------------- Prof. and Head, Dept.Mr.NIlesh

More information

Lecture 3: Flow-Control

Lecture 3: Flow-Control High-Performance On-Chip Interconnects for Emerging SoCs http://tusharkrishna.ece.gatech.edu/teaching/nocs_acaces17/ ACACES Summer School 2017 Lecture 3: Flow-Control Tushar Krishna Assistant Professor

More information

4. Networks. in parallel computers. Advances in Computer Architecture

4. Networks. in parallel computers. Advances in Computer Architecture 4. Networks in parallel computers Advances in Computer Architecture System architectures for parallel computers Control organization Single Instruction stream Multiple Data stream (SIMD) All processors

More information

Communication Performance in Network-on-Chips

Communication Performance in Network-on-Chips Communication Performance in Network-on-Chips Axel Jantsch Royal Institute of Technology, Stockholm November 24, 2004 Network on Chip Seminar, Linköping, November 25, 2004 Communication Performance In

More information

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC

CAD System Lab Graduate Institute of Electronics Engineering National Taiwan University Taipei, Taiwan, ROC QoS Aware BiNoC Architecture Shih-Hsin Lo, Ying-Cherng Lan, Hsin-Hsien Hsien Yeh, Wen-Chung Tsai, Yu-Hen Hu, and Sao-Jie Chen Ying-Cherng Lan CAD System Lab Graduate Institute of Electronics Engineering

More information

Embedded Systems. 7. System Components

Embedded Systems. 7. System Components Embedded Systems 7. System Components Lothar Thiele 7-1 Contents of Course 1. Embedded Systems Introduction 2. Software Introduction 7. System Components 10. Models 3. Real-Time Models 4. Periodic/Aperiodic

More information

Fitting the Router Characteristics in NoCs to Meet QoS Requirements

Fitting the Router Characteristics in NoCs to Meet QoS Requirements Fitting the Router Characteristics in NoCs to Meet QoS Requirements Edgard de Faria Corrêa Superintendência de Informática - UFRN edgard@info.ufrn.br Leonardo A.de P. e Silva lapys@inf.ufrgs.br Flávio

More information

Design of network adapter compatible OCP for high-throughput NOC

Design of network adapter compatible OCP for high-throughput NOC Applied Mechanics and Materials Vols. 313-314 (2013) pp 1341-1346 Online available since 2013/Mar/25 at www.scientific.net (2013) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/amm.313-314.1341

More information

Toward a Reliable Data Transport Architecture for Optical Burst-Switched Networks

Toward a Reliable Data Transport Architecture for Optical Burst-Switched Networks Toward a Reliable Data Transport Architecture for Optical Burst-Switched Networks Dr. Vinod Vokkarane Assistant Professor, Computer and Information Science Co-Director, Advanced Computer Networks Lab University

More information

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK

HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK DOI: 10.21917/ijct.2012.0092 HARDWARE IMPLEMENTATION OF PIPELINE BASED ROUTER DESIGN FOR ON- CHIP NETWORK U. Saravanakumar 1, R. Rangarajan 2 and K. Rajasekar 3 1,3 Department of Electronics and Communication

More information

Interconnection Networks

Interconnection Networks Lecture 18: Interconnection Networks Parallel Computer Architecture and Programming CMU 15-418/15-618, Spring 2015 Credit: many of these slides were created by Michael Papamichael This lecture is partially

More information

Network-on-Chip Architecture

Network-on-Chip Architecture Multiple Processor Systems(CMPE-655) Network-on-Chip Architecture Performance aspect and Firefly network architecture By Siva Shankar Chandrasekaran and SreeGowri Shankar Agenda (Enhancing performance)

More information

INTERCONNECTION NETWORKS LECTURE 4

INTERCONNECTION NETWORKS LECTURE 4 INTERCONNECTION NETWORKS LECTURE 4 DR. SAMMAN H. AMEEN 1 Topology Specifies way switches are wired Affects routing, reliability, throughput, latency, building ease Routing How does a message get from source

More information

Study of Network on Chip resources allocation for QoS Management

Study of Network on Chip resources allocation for QoS Management Journal of Computer Science 2 (10): 770-774, 2006 ISSN 1549-3636 2006 Science Publications Study of Network on Chip resources allocation for QoS Management Abdelhamid HELALI, Adel SOUDANI, Jamila BHAR

More information

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA

Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Design and Implementation of Low Complexity Router for 2D Mesh Topology using FPGA Maheswari Murali * and Seetharaman Gopalakrishnan # * Assistant professor, J. J. College of Engineering and Technology,

More information

Module 17: "Interconnection Networks" Lecture 37: "Introduction to Routers" Interconnection Networks. Fundamentals. Latency and bandwidth

Module 17: Interconnection Networks Lecture 37: Introduction to Routers Interconnection Networks. Fundamentals. Latency and bandwidth Interconnection Networks Fundamentals Latency and bandwidth Router architecture Coherence protocol and routing [From Chapter 10 of Culler, Singh, Gupta] file:///e /parallel_com_arch/lecture37/37_1.htm[6/13/2012

More information

Embedded Systems: Hardware Components (part II) Todor Stefanov

Embedded Systems: Hardware Components (part II) Todor Stefanov Embedded Systems: Hardware Components (part II) Todor Stefanov Leiden Embedded Research Center, Leiden Institute of Advanced Computer Science Leiden University, The Netherlands Outline Generic Embedded

More information

Lecture 26: Interconnects. James C. Hoe Department of ECE Carnegie Mellon University

Lecture 26: Interconnects. James C. Hoe Department of ECE Carnegie Mellon University 18 447 Lecture 26: Interconnects James C. Hoe Department of ECE Carnegie Mellon University 18 447 S18 L26 S1, James C. Hoe, CMU/ECE/CALCM, 2018 Housekeeping Your goal today get an overview of parallel

More information

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP

CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 133 CHAPTER 6 FPGA IMPLEMENTATION OF ARBITERS ALGORITHM FOR NETWORK-ON-CHIP 6.1 INTRODUCTION As the era of a billion transistors on a one chip approaches, a lot of Processing Elements (PEs) could be located

More information

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations

Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations International Journal of Soft Computing and Engineering (IJSCE) Bursty Communication Performance Analysis of Network-on-Chip with Diverse Traffic Permutations Naveen Choudhary Abstract To satisfy the increasing

More information

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema

Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema Real Time NoC Based Pipelined Architectonics With Efficient TDM Schema [1] Laila A, [2] Ajeesh R V [1] PG Student [VLSI & ES] [2] Assistant professor, Department of ECE, TKM Institute of Technology, Kollam

More information

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs

BARP-A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs -A Dynamic Routing Protocol for Balanced Distribution of Traffic in NoCs Pejman Lotfi-Kamran, Masoud Daneshtalab *, Caro Lucas, and Zainalabedin Navabi School of Electrical and Computer Engineering, The

More information

Fast Flexible FPGA-Tuned Networks-on-Chip

Fast Flexible FPGA-Tuned Networks-on-Chip This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Fast Flexible FPGA-Tuned Networks-on-Chip Michael K. Papamichael, James C. Hoe

More information

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology

STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology STG-NoC: A Tool for Generating Energy Optimized Custom Built NoC Topology Surbhi Jain Naveen Choudhary Dharm Singh ABSTRACT Network on Chip (NoC) has emerged as a viable solution to the complex communication

More information

Interconnection Networks: Topology. Prof. Natalie Enright Jerger

Interconnection Networks: Topology. Prof. Natalie Enright Jerger Interconnection Networks: Topology Prof. Natalie Enright Jerger Topology Overview Definition: determines arrangement of channels and nodes in network Analogous to road map Often first step in network design

More information

HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip

HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip HiRA: A Methodology for Deadlock Free Routing in Hierarchical Networks on Chip Rickard Holsmark 1, Maurizio Palesi 2, Shashi Kumar 1 and Andres Mejia 3 1 Jönköping University, Sweden 2 University of Catania,

More information

Design of a System-on-Chip Switched Network and its Design Support Λ

Design of a System-on-Chip Switched Network and its Design Support Λ Design of a System-on-Chip Switched Network and its Design Support Λ Daniel Wiklund y, Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden Abstract As the degree of

More information

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs This work was funded by NSF. We thank Xilinx for their FPGA and tool donations. We thank Bluespec for their tool donations. Re-Examining Conventional Wisdom for Networks-on-Chip in the Context of FPGAs

More information

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism

A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism A Flexible Design of Network on Chip Router based on Handshaking Communication Mechanism Seyyed Amir Asghari, Hossein Pedram and Mohammad Khademi 2 Amirkabir University of Technology 2 Shahid Beheshti

More information

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems.

Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. Cluster Networks Introduction Communication has significant impact on application performance. Interconnection networks therefore have a vital role in cluster systems. As usual, the driver is performance

More information

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections

Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections Fault-Tolerant Multiple Task Migration in Mesh NoC s over virtual Point-to-Point connections A.SAI KUMAR MLR Group of Institutions Dundigal,INDIA B.S.PRIYANKA KUMARI CMR IT Medchal,INDIA Abstract Multiple

More information

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs*

SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* SONA: An On-Chip Network for Scalable Interconnection of AMBA-Based IPs* Eui Bong Jung 1, Han Wook Cho 1, Neungsoo Park 2, and Yong Ho Song 1 1 College of Information and Communications, Hanyang University,

More information

QoS architecture and design process for cost effective Network on Chip

QoS architecture and design process for cost effective Network on Chip 1 QoS architecture and design process for cost effective Network on Chip Evgeny Bolotin, Israel Cidon, Ran Ginosar and Avinoam Kolodny Electrical Engineering Department, Technion Israel Institute of Technology

More information

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL

Design of Synchronous NoC Router for System-on-Chip Communication and Implement in FPGA using VHDL Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology ISSN 2320 088X IJCSMC, Vol. 2, Issue.

More information

A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES

A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES A NEW ROUTER ARCHITECTURE FOR DIFFERENT NETWORK- ON-CHIP TOPOLOGIES 1 Jaya R. Surywanshi, 2 Dr. Dinesh V. Padole 1,2 Department of Electronics Engineering, G. H. Raisoni College of Engineering, Nagpur

More information

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on

A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on A Reconfigurable Crossbar Switch with Adaptive Bandwidth Control for Networks-on on-chip Donghyun Kim, Kangmin Lee, Se-joong Lee and Hoi-Jun Yoo Semiconductor System Laboratory, Dept. of EECS, Korea Advanced

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH

Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip. Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip Danella Zhao and Ruizhe Wu Presented by Zhonghai Lu, KTH Outline Introduction Overview of WiNoC system architecture Overlaid

More information

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection

Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Improving Routing Efficiency for Network-on-Chip through Contention-Aware Input Selection Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz School of Electronics and Computer Science University of Southampton

More information

Network on chip simulations for benchmarking

Network on chip simulations for benchmarking Network on chip simulations for benchmarking Daniel Wiklund, Sumant Sathe, and Dake Liu Dept. of Electrical Engineering Linköping University S-581 83 Linköping, Sweden {danwi,sumant,dake}@isy.liu.se Abstract

More information

Messaging Overview. Introduction. Gen-Z Messaging

Messaging Overview. Introduction. Gen-Z Messaging Page 1 of 6 Messaging Overview Introduction Gen-Z is a new data access technology that not only enhances memory and data storage solutions, but also provides a framework for both optimized and traditional

More information

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3

Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek Raj.K 1 Prasad Kumar 2 Shashi Raj.K 3 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Design and Implementation of a Packet Switched Dynamic Buffer Resize Router on FPGA Vivek

More information

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation

Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Achieving Lightweight Multicast in Asynchronous Networks-on-Chip Using Local Speculation Kshitij Bhardwaj Dept. of Computer Science Columbia University Steven M. Nowick 2016 ACM/IEEE Design Automation

More information

Basic Low Level Concepts

Basic Low Level Concepts Course Outline Basic Low Level Concepts Case Studies Operation through multiple switches: Topologies & Routing v Direct, indirect, regular, irregular Formal models and analysis for deadlock and livelock

More information

RHiNET-3/SW: an 80-Gbit/s high-speed network switch for distributed parallel computing

RHiNET-3/SW: an 80-Gbit/s high-speed network switch for distributed parallel computing RHiNET-3/SW: an 0-Gbit/s high-speed network switch for distributed parallel computing S. Nishimura 1, T. Kudoh 2, H. Nishi 2, J. Yamamoto 2, R. Ueno 3, K. Harasawa 4, S. Fukuda 4, Y. Shikichi 4, S. Akutsu

More information

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks

Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks Ultra-Low Latency, Bit-Parallel Message Exchange in Optical Packet Switched Interconnection Networks O. Liboiron-Ladouceur 1, C. Gray 2, D. Keezer 2 and K. Bergman 1 1 Department of Electrical Engineering,

More information

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK

A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER. A Thesis SUNGHO PARK A VERIOG-HDL IMPLEMENTATION OF VIRTUAL CHANNELS IN A NETWORK-ON-CHIP ROUTER A Thesis by SUNGHO PARK Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements

More information

This Lecture. BUS Computer Facilities Network Management. Switching Network. Simple Switching Network

This Lecture. BUS Computer Facilities Network Management. Switching Network. Simple Switching Network This Lecture BUS0 - Computer Facilities Network Management Switching networks Circuit switching Packet switching gram approach Virtual circuit approach Routing in switching networks Faculty of Information

More information

Connection-oriented Multicasting in Wormhole-switched Networks on Chip

Connection-oriented Multicasting in Wormhole-switched Networks on Chip Connection-oriented Multicasting in Wormhole-switched Networks on Chip Zhonghai Lu, Bei Yin and Axel Jantsch Laboratory of Electronics and Computer Systems Royal Institute of Technology, Sweden fzhonghai,axelg@imit.kth.se,

More information

Network-on-chip (NOC) Topologies

Network-on-chip (NOC) Topologies Network-on-chip (NOC) Topologies 1 Network Topology Static arrangement of channels and nodes in an interconnection network The roads over which packets travel Topology chosen based on cost and performance

More information

ISSN Vol.03, Issue.02, March-2015, Pages:

ISSN Vol.03, Issue.02, March-2015, Pages: ISSN 2322-0929 Vol.03, Issue.02, March-2015, Pages:0122-0126 www.ijvdcs.org Design and Simulation Five Port Router using Verilog HDL CH.KARTHIK 1, R.S.UMA SUSEELA 2 1 PG Scholar, Dept of VLSI, Gokaraju

More information

A Novel Energy Efficient Source Routing for Mesh NoCs

A Novel Energy Efficient Source Routing for Mesh NoCs 2014 Fourth International Conference on Advances in Computing and Communications A ovel Energy Efficient Source Routing for Mesh ocs Meril Rani John, Reenu James, John Jose, Elizabeth Isaac, Jobin K. Antony

More information

NOC: Networks on Chip SoC Interconnection Structures

NOC: Networks on Chip SoC Interconnection Structures NOC: Networks on Chip SoC Interconnection Structures COE838: Systems-on-Chip Design http://www.ee.ryerson.ca/~courses/coe838/ Dr. Gul N. Khan http://www.ee.ryerson.ca/~gnkhan Electrical and Computer Engineering

More information

L1.5VPNs: PACKET-SWITCHING ADAPTIVE L1 VPNs

L1.5VPNs: PACKET-SWITCHING ADAPTIVE L1 VPNs L1.5VPNs: PACKET-SWITCHING ADAPTIVE L1 VPNs What: Why: How: L1.5VPN is functionally equal to connecting VPN access sites over dedicated L1/0 connections to hub packet switch(es) However, uses more cost-efficient

More information

High Throughput and Low Power NoC

High Throughput and Low Power NoC IJCSI International Journal of Computer Science Issues, Vol. 8, Issue 5, o 3, September 011 www.ijcsi.org 431 High Throughput and Low Power oc Magdy El-Moursy 1, Member IEEE and Mohamed Abdelgany 1 Mentor

More information

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel

OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel OpenSMART: Single-cycle Multi-hop NoC Generator in BSV and Chisel Hyoukjun Kwon and Tushar Krishna Georgia Institute of Technology Synergy Lab (http://synergy.ece.gatech.edu) hyoukjun@gatech.edu April

More information

Packet Switch Architecture

Packet Switch Architecture Packet Switch Architecture 3. Output Queueing Architectures 4. Input Queueing Architectures 5. Switching Fabrics 6. Flow and Congestion Control in Sw. Fabrics 7. Output Scheduling for QoS Guarantees 8.

More information

Packet Switch Architecture

Packet Switch Architecture Packet Switch Architecture 3. Output Queueing Architectures 4. Input Queueing Architectures 5. Switching Fabrics 6. Flow and Congestion Control in Sw. Fabrics 7. Output Scheduling for QoS Guarantees 8.

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory

Embedded Systems. 8. Hardware Components. Lothar Thiele. Computer Engineering and Networks Laboratory Embedded Systems 8. Hardware Components Lothar Thiele Computer Engineering and Networks Laboratory Do you Remember? 8 2 8 3 High Level Physical View 8 4 High Level Physical View 8 5 Implementation Alternatives

More information

Mapping and Configuration Methods for Multi-Use-Case Networks on Chips

Mapping and Configuration Methods for Multi-Use-Case Networks on Chips Mapping and Configuration Methods for Multi-Use-Case Networks on Chips Srinivasan Murali CSL, Stanford University Stanford, USA smurali@stanford.edu Martijn Coenen, Andrei Radulescu, Kees Goossens Philips

More information

ECE 551 System on Chip Design

ECE 551 System on Chip Design ECE 551 System on Chip Design Introducing Bus Communications Garrett S. Rose Fall 2018 Emerging Applications Requirements Data Flow vs. Processing µp µp Mem Bus DRAMC Core 2 Core N Main Bus µp Core 1 SoCs

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

The Network Layer and Routers

The Network Layer and Routers The Network Layer and Routers Daniel Zappala CS 460 Computer Networking Brigham Young University 2/18 Network Layer deliver packets from sending host to receiving host must be on every host, router in

More information

TDT Appendix E Interconnection Networks

TDT Appendix E Interconnection Networks TDT 4260 Appendix E Interconnection Networks Review Advantages of a snooping coherency protocol? Disadvantages of a snooping coherency protocol? Advantages of a directory coherency protocol? Disadvantages

More information

Design of an Efficient Communication Protocol for 3d Interconnection Network

Design of an Efficient Communication Protocol for 3d Interconnection Network Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of an Efficient

More information

NoC Test-Chip Project: Working Document

NoC Test-Chip Project: Working Document NoC Test-Chip Project: Working Document Michele Petracca, Omar Ahmad, Young Jin Yoon, Frank Zovko, Luca Carloni and Kenneth Shepard I. INTRODUCTION This document describes the low-power high-performance

More information

Quality-of-Service for a High-Radix Switch

Quality-of-Service for a High-Radix Switch Quality-of-Service for a High-Radix Switch Nilmini Abeyratne, Supreet Jeloka, Yiping Kang, David Blaauw, Ronald G. Dreslinski, Reetuparna Das, and Trevor Mudge University of Michigan 51 st DAC 06/05/2014

More information

ECE/CS 757: Advanced Computer Architecture II Interconnects

ECE/CS 757: Advanced Computer Architecture II Interconnects ECE/CS 757: Advanced Computer Architecture II Interconnects Instructor:Mikko H Lipasti Spring 2017 University of Wisconsin-Madison Lecture notes created by Natalie Enright Jerger Lecture Outline Introduction

More information

A Survey of Techniques for Power Aware On-Chip Networks.

A Survey of Techniques for Power Aware On-Chip Networks. A Survey of Techniques for Power Aware On-Chip Networks. Samir Chopra Ji Young Park May 2, 2005 1. Introduction On-chip networks have been proposed as a solution for challenges from process technology

More information

Transaction Level Model Simulator for NoC-based MPSoC Platform

Transaction Level Model Simulator for NoC-based MPSoC Platform Proceedings of the 6th WSEAS International Conference on Instrumentation, Measurement, Circuits & Systems, Hangzhou, China, April 15-17, 27 17 Transaction Level Model Simulator for NoC-based MPSoC Platform

More information

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP

AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP AREA-EFFICIENT DESIGN OF SCHEDULER FOR ROUTING NODE OF NETWORK-ON-CHIP Rehan Maroofi, 1 V. N. Nitnaware, 2 and Dr. S. S. Limaye 3 1 Department of Electronics, Ramdeobaba Kamla Nehru College of Engg, Nagpur,

More information

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP

FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP FPGA BASED ADAPTIVE RESOURCE EFFICIENT ERROR CONTROL METHODOLOGY FOR NETWORK ON CHIP 1 M.DEIVAKANI, 2 D.SHANTHI 1 Associate Professor, Department of Electronics and Communication Engineering PSNA College

More information

Interconnection Network

Interconnection Network Interconnection Network Recap: Generic Parallel Architecture A generic modern multiprocessor Network Mem Communication assist (CA) $ P Node: processor(s), memory system, plus communication assist Network

More information

The Nios II Family of Configurable Soft-core Processors

The Nios II Family of Configurable Soft-core Processors The Nios II Family of Configurable Soft-core Processors James Ball August 16, 2005 2005 Altera Corporation Agenda Nios II Introduction Configuring your CPU FPGA vs. ASIC CPU Design Instruction Set Architecture

More information

ECE 650 Systems Programming & Engineering. Spring 2018

ECE 650 Systems Programming & Engineering. Spring 2018 ECE 650 Systems Programming & Engineering Spring 2018 Networking Introduction Tyler Bletsch Duke University Slides are adapted from Brian Rogers (Duke) Computer Networking A background of important areas

More information

Chapter 9 Multiprocessors

Chapter 9 Multiprocessors ECE200 Computer Organization Chapter 9 Multiprocessors David H. lbonesi and the University of Rochester Henk Corporaal, TU Eindhoven, Netherlands Jari Nurmi, Tampere University of Technology, Finland University

More information

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER

DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G MAHESH BABU, et al, Volume 2, Issue 7, PP:, SEPTEMBER 2014. DESIGN A APPLICATION OF NETWORK-ON-CHIP USING 8-PORT ROUTER G.Mahesh Babu 1*, Prof. Ch.Srinivasa Kumar 2* 1. II. M.Tech (VLSI), Dept of ECE,

More information

Low-Power Interconnection Networks

Low-Power Interconnection Networks Low-Power Interconnection Networks Li-Shiuan Peh Associate Professor EECS, CSAIL & MTL MIT 1 Moore s Law: Double the number of transistors on chip every 2 years 1970: Clock speed: 108kHz No. transistors:

More information