MachXO2, MachXO3 and ECP5 7:1 LVDS Video Interface

Size: px
Start display at page:

Download "MachXO2, MachXO3 and ECP5 7:1 LVDS Video Interface"

Transcription

1 September 25 Introduction Reference Design RD93 Source synchronous interfaces consisting of multiple data bits and clocks have become a common method for moving image data within electronic systems. A prevalent standard is the : LVDS video interface (employed in Channel Link, Flat Link, and Camera Link), which has become a common standard in many electronic products including consumer devices, industrial control, medical, and automotive telematics. In many of these applications, the practice of using low-cost PLDs for image processing has become quite common. The MachXO2, Mach XO3 PLD and ECP5 device families have been specifically engineered to support Display Interface (: LVDS) video standard with built-in dedicated hardware interface blocks. This document describes the implementation methods and the advantages of using MachXO2, MachXO3 and ECP5 devices for implementing this interface. By extension, support for Display Interface in these devices proves the feasibility of hardware implementation for all other LVDS source synchronous requirements as well. Two designs are included in the discussion of this document. The first design is a simple loopback test that illustrates the use of the Display Interface transmitter and Display Interface receiver. The second design is an example that brings video data into the PLD through the Display Interface receiver, processes it and transmits it out via the Display Interface transmitter. Both designs are verified using the MachXO2 Control Evaluation Board. Display Interface Requirement The Display Interface is a source synchronous LVDS interface. Seven data bits are serialized for each cycle of the low-speed clock as shown in Figure. Typically, the interface consists of four (three data, one clock) or five (four data, one clock) LVDS pairs. The four pairs translate to 2 parallel data bits and five pairs translate to 28 parallel data bits. Note that there is a 2-bit offset between the clock rising edge and the word boundary. Each word is bits long. Figure. Basic Timing of the Display Interface MachXO2, MachXO3 and ECP5 : LVDS Video Interface Clock D (n-) D (n-) D6 D D D6 D (n-) D (n-) D6 D D D6 D (n-) D (n-) D6 D D D6 D (n-) D (n-) D6 D D D6 Previous Cycle Current Cycle Next Cycle Each channel includes a serial LVDS data pair along with a source synchronous LVDS clock pair. The receiver receives this serial LVDS data, deserializes it and aligns it to the original word boundary to generate seven parallel 25 Lattice Semiconductor Corp. All Lattice trademarks, registered trademarks, patents, and disclaimers are as listed at All other brand or product names are trademarks or registered trademarks of their respective holders. The specifications and information herein are subject to change without notice. RD93_.4

2 : LVDS Video Interface data bits. The : transmitter serializes the seven parallel data bits to a single LVDS data bit and transmits this serial data channel along with a LVDS clock. Figure 2 shows the Display Interface receiver receiving four LVDS data channels. When deserialized, it generates 28-bit wide parallel data. Similarly, the Display Interface transmitter serializes 28-bit parallel data to generate four LVDS data channels. Figure 2. Display Interface Receiver and Transmitter Function 4-Bit LVDS Serial Data 28-bit 28-bit 4 28 Parallel Data Parallel Data Bit LVDS Serial Data LVDS Clk : Display Interface Receiver Pixel Rate Clock () 3.5x Clock () 3.5x Clock () : Display Interface Transmitter LVDS Clk The requirements for an FPGA-based solution to the Channel Link and Flat Link style interfaces consist of four key components: high-speed LVDS buffers, a PLL for generating the de-serialization clock, input data capture and gearing, and data formatting. In previous devices, input data capture, gearing and formatting required user logic design and qualification. MachXO2, MachXO3 and ECP5 devices simplify this task with built-in hardware transmit and receive gearing to compliment the high-speed LVDS buffers and sysclock PLLs to provide a full featured, pre-qualified Display Interface solution. The data and clock are received or transmitted in LVDS format, with the data at relatively high speed. The exact speed depends on the resolution, frame rate and color depth used by the display. For example, 8x6 to 24x68 displays require pixel data to be transmitted from 4 MHz to 8.5 MHz for 6 Hz to 5 Hz refresh rates. This translates to LVDS data rates of 28 Mbps to 549 Mbps. Higher resolution displays, such as the 28x24 6 Hz require pixel data to be transmitted at 8 MHz. For these systems, the LVDS data will transmit at 56 Mbps. Clock Generation In MachXO2, MachXO3 and ECP5 devices, the Display Interface input capture circuitry uses Double Data Rate (DDR) registers with data captured on both the rising and falling edges of the clock. When operating as a receiver, the low-speed clock that is provided with the data must be multiplied 3.5X in order to capture the data on both clock edges. The multiplied clock must have relatively low jitter since its jitter must be accounted for in the overall timing budget. Similarly, the skew of the clock distribution network used to provide this clock to input or output registers must be accounted for in any timing analysis. In order to transmit high-speed data, a transmitter similarly must use or create the 3.5X high-speed DDR edge clock. Again, the jitter of the clock and the skew of its distribution are important as they impact the timing budget for the interface. Figure 3 shows the DDR transmit clock and how the R, G, B bits, Vsync, Hsync, and DE of a pixel on line 2 of a video frame get assigned to the four LVDS data pairs. The data bits are sampled on both rising and falling edges of the DDR transmit clock (). 2

3 : LVDS Video Interface Figure 3. Timings of Video Signals and the Display Interface Vsync Hsync DE R/G/B Line Line 2 Line 3 Line 49 Line 48 Hsync DE Pixel Clock R[:] Pixel() R[:] Pixel(2) R[:] Pixel(3) R[:] Pixel(639) R[:] Pixel(64) R[:] G[:] Pixel() G[:] Pixel(2) G[:] Pixel(3) G[:] Pixel(639) G[:] Pixel(64) G[:] B[:] Pixel() B[:] Pixel(2) B[:] Pixel(3) B[:] Pixel(639) B[:] Pixel(64) B[:] PLL RCLK_in CLKOP (RCLK_in x 3.5) CLKOS (RCLK_in x phase shift) (pll feedback) eclk RCLK_in RD_in R (n-) R (n-) G R5 R4 R3 R2 R R G R5 R4 R3 R2 RC_in G2 (n-) G (n-) B B G5 G4 G3 G2 G B B G5 G4 G3 RB_in B3 (n-) B2 (n-) DE Vsync Hsync B5 B4 B3 B2 DE Vsync Hsync B5 B4 RA_in R (n-) R6 (n-) Rsrv B B6 G G6 R R6 Rsrv B B6 G G6 eclk 3

4 Data Capture and Formatting MachXO2, MachXO3 and ECP5 : LVDS Video Interface The registers that follow the LVDS input buffer must accurately capture the data. A tight control of the clock and data relationship is important to capture the incoming high-speed data stream. It is also necessary to gear, or reduce, the speed of the data before it is passed on to the FPGA fabric. MachXO2 devices specify the operation of individual circuit elements to around 35 MHz. A practical operating frequency with a reasonable amount of logic is 5-2 MHz. Therefore, the greater the gearing that can be done in the I/O structure, the lower the likelihood that the FPGA fabric will be the limit on overall performance. A similar discussion is applicable to the transmit path. The final step is to take the data from the I/O cells and format it into the original -bit width clocked by the low-speed clock. In MachXO2 and ECP5 devices, the task of DDR data capture, gearing and : formatting has been greatly simplified. The architecture includes embedded Display Interface primitives for both receive and transmit data paths. These elements provide an ideal solution for this low-cost, high-confidence video interface solutions. MachXO2 Display Interface The MachXO2 architecture provides an ideal solution for this interface. This section describes implementation of the Display Interface receiver and Display Interface transmitter utilizing the specialized MachXO2 Display I/O structures. Similar architecture has been implemented in the ECP5 designs. Display Interface Receiver Figure 4 shows the block diagram of the receive side of an intra-system display interface within a MachXO2 device. The receiver receives four LVDS data channels and one LVDS clock. Figure 4. Display Interface Receiver DISPLAY I/O XO2_RX.v DISPLAY I/O LOGIC IDDRXA RA_in D RB_in ALIGNWD RC_in RD_in ALIGN_WORD RST SLIP CLK CLKWD EN CLKBUFA Z A EHXPLLJ CLKDIVC ALIGNWD CLKI CDIV RST CDIVX CLKI div by 3.5 RST Q(6:) RA_out RB_out RC_out RD_out DISPLAY I/O ALIGN_BIT RST LOCK EN DIR CLK STEP CLKWD CLKFB CLKOP CLKI CLKOS CLKI x3.5, phase-shifted PHASEDIR PHASESTEP LOCK I O STOP SYNCA DISPLAY I/O LOGIC D IDDRXA ALIGNWD RCLK_in RST STOP RST Q(6:) RCLK_out RST_CTL 4

5 : LVDS Video Interface The data and clock enter the MachXO2 device through Display I/O buffers. These buffers operate at up to 33 MHz (66 Mbps), supporting high resolution and display refresh rates with up to a 85 MHz pixel rate (SXGA). The source synchronous LVDS input clock is fed into a PLL. The PLL is used to multiply the clock by 3.5 and create a phase shift (nominally 9 degrees). This phase shift allows for placing the clock in the middle of the data valid window. This faster phase-shifted clock () is then distributed via a low skew edge clock net to the DDR capture registers. An additional block (SYNCA) is used in conjunction with the RST_CTL block to ensure a controlled startup alignment of all subtended divider circuits driven by. The start-up timing is shown in Figure 5. Figure 5. Synchronization Start-up Timing (SYNCA) STOP RST Minimum 2 cycles The output of the synchronizer block drives a dedicated div-by-3.5 clock divider circuit (CLKDIVC) to produce a pixel-rate clock () phase aligned with. The pixel clock is used to clock parallel pixel data at a lower FPGA clock rate into the FPGA fabric. The LVDS data is fed to the Display I/O Logic Cell s double data-rate (DDR) registers with : gearing function (IDDRXA). The gearing allows demuxing of the I/O data clocked with the high-speed edge clock () to the slower-speed FPGA clock rate (). As shown in Figure 6, the output data is driven by the rising edge of. The first serial bit received becomes bit of the output word. 5

6 : LVDS Video Interface Figure 6. Receive : Gearing in Display I/O Logic Cell (IDDRXA) D Q6 54 Q5 43 Q4 32 Q3 2 Q2 Q - Q SEL UPDATE The output word is delineated using two control signals, SEL and UPDATE. These signals are generated internally to the I/O logic cell by dividing down. The timing of these signals is shown in Figure. 6

7 : LVDS Video Interface Figure. Deserializer Timing D UPDATE SEL Q(6:) " " The Display Interface includes logic for auto-aligning the PLL output clock to the optimum sample position for sampling the input LVDS data stream (bit_align.v), plus logic for auto-aligning the FPGA clock to the input data word (word_align.v). These soft logic pieces work in concert with the hard primitive resources to provide the full Display Interface solution. After a global reset event, or the establishment of the input Clock link, the Bit Alignment module (bit_align.v) is activated to find the best sample point for the incoming data. The module slews the PLL clock output across 8 of phase in 8 steps, or 22.5 increments. (8 is sufficient because of the Dual Data Rate nature of the input data.) During this initialization, the circuit tests each phase for coherent data. After determining the extent of the good data, the module selects the phase in the middle of the good data window and indicates initialization complete by asserting DPHASE_LOCK. This process is illustrated in Figure 8. Figure 8. Bit-alignment Search Selected phase 8 phase search Failed phases Data_in The Word Alignment module (word_align.v) simply compares RCLK_out against the expected output pattern of. If the expected alignment is not found, the module issues asserts ALIGNWD to CLKDIVC and IDDRXA to accomplish a shift in UPDATE, SEL, and, ultimately, the received data. Each rising edge of ALIGNWD causes a 2-bit shift, or slip, relative to the input stream. (ALIGNWD may be asynchronous to the domain. Both high and low pulses must remain for a minimum of 4 cycles.) This action is repeated until the correct data word alignment is found. Figure 9 shows the effect of a slip action.

8 : LVDS Video Interface Figure 9. Slip Action in Response to ALIGNWD Rising Edge Slip Action D UPDATE SEL Q(6:) " " Display Interface Transmitter Figure shows the block diagram of the transmit side of an intra-system display interface within a MachXO2 device. The module receives four channels of -bit parallel data and the fast DDR clock (). The transmitter transmits four LVDS data channels and one LVDS clock. Figure. Display Interface Transmitter XO2_TX.v DISPLAY I/O LOGIC ODDRXA dataout dataout dataout2 dataout3 D(6:) RST Q dout dout dout2 DISPLAY I/O TA_out TA_out TA_out CLKDIVC dout3 TA_out ALIGNWD CLKI CDIV eclk I O STOP RST CDIVX CLKI div by 3.5 sclk SYNCA DISPLAY I/O LOGIC ODDRXA D(6:) RST STOP RST_CTL RST Q clkout DISPLAY I/O TCLK_out 8

9 : LVDS Video Interface All 28 bits of parallel data are registered at the transmitter inputs on the rising edge of the locally generated system clock (). Care must be taken as the parallel data is sourced from a separate clock domain. For example, data from the Display I/O receiver module, generated by a separate and distinct domain, must be phase aligned with the generated locally by CLKDIVC within the transmit module. This is possible by releasing the STOP port of each SYNCA (rcv and xmt) in unison. Timing analysis can then calculate the correct set-up times based upon data path delay and clock skews from the common. The parallel data is fed to the Display I/O Logic Cell with : gearing function (ODDRXA). The gearing allows the multiplexing of the input data clocked in with the low-speed system clock () to the higher-speed DDR output edge clock rate (). As shown in Figure, the output data is driven by both edges of. Bit of the input data word becomes the first bit of the serial output. 9

10 : LVDS Video Interface Figure. : Gearing in Tx Display I/O Logic Cell (ODDRXA) 6_ D D D Q _ SEL UPDATE The output word is serialized using two control signals, SEL and UPDATE. These signals are generated internally to the I/O Logic Cell by dividing down. The timing of these signals is illustrated in Figure 2. SEL and UPDATE are synchronized with (generated by CLKDIVC) by the use of SYNCA.

11 : LVDS Video Interface Figure 2. Serializer Timing D(6:) " " UPDATE SEL Q Design Example : Loopback Test The loopback test design included with this document uses a MachXO2, MachXO3 or ECP5 device to implement both the : Display Interface transmitter and receiver. Figure 3 shows the design implementation. For more detailed information about the : Display Interface transmitter and receiver, refer to Figures 4 and. Figure 3. Loopback Test Block Diagram CLK_Tx Transmit Data Generator 28 Div3.5 eclk sclk : Display Interface Transmitter TCLK_out TDATA_out 4 LEDs DIPSW 4 4 Data Compare/ Error Logic 28 : Display Interface Receiver RDATA_in 4 RCLK_in 28-bit transmit data is generated in the device logic using counter values. This data is then serialized and transmitted as four bits of LVDS data using the : Display Interface Transmitter. The 4-bit LVDS data is then looped back externally into the MachXO2 device receiver side and deserialized using the : Display Interface Receiver. This deserialized data is then fed to the data compare logic module which compares the deserialized receiver data to the original counter values transmitted. Errors are detected and latched for visual display through an LED port. The information displayed by the LEDs is selected by a DIPSW array input port. In addition, the DIPSW may be used to override the automated phase detection (see Bit Alignment search, above). The relationship between the DIPSW setting and the LED display is given in Table.

12 : LVDS Video Interface Table. LED Display Options DIPSW(3:) LE LE LED LED Bit-alignment module phase determination (-) Ch. A error detect Ch. B error detect Ch. C error detect Ch. D error detect Rx PLL lock Bit-align lock Rx data lock Free-running blink Ch. A Tx data(6:5) Ch. A Rx data(6:5) Ch. B Tx data(6:5) Ch. B Rx data(6:5) Ch. C Tx data(6:5) Ch. C Rx data(6:5) Ch. D Tx data(6:5) Ch. D Rx data(6:5) xxx Ch. A error detect Ch. B error detect Ch. C error detect Ch. D error detect Table 2. Input Sample Clock () Phase Adjustment DIPSW(3) Action Automatic input clock sample phase adjustment Manual input clock sample phase adjustment: DIPSW(2:) Phase Adjustment from Nominal

13 : LVDS Video Interface Implementation Table 3. Performance and Resource Utilization ECP5 3 Device Family Language Speed Grade Utilization (LUTs) fmax (MHz) I/Os MachXO3L 2 MachXO2 Design Example 2: PassThru Test Verilog-LSE Verilog-Syn VHDL-LSE VHDL-Syn Verilog-Syn Verilog-LSE VHDL-Syn VHDL-LSE Verilog-LSE Verilog-Syn VHDL-LSE 6 3 VHDL-Syn 6 3. Performance and utilization characteristics are generated using LCMXO2-2HC-6MG32C with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary. 2. Performance and utilization characteristics are generated using LCMXO3L-43C-6BG256C with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary. 3. Performance and utilization characteristics are generated using LFE5UM-85F-8BG65S with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary. In order to verify the operation of Display Interfaces within the MachXO2 device, Lattice has developed the MachXO2 Control Evaluation Board. This system takes video data supplied in DVI format from a source such as a PC or a DVD player and converts it to the : LVDS source synchronous format using a Texas Instruments DVI Decoder and a National Semiconductor Channel Link Transmitter Device. This image data is fed to the MachXO2 where the Display Interface Receiver is used to deserialize the data. This data is then converted back into serial data using the Display Interface Transmitter within the MachXO2 device. It is then transmitted using a source synchronous : LVDS interface to a National Semiconductor Channel Link Receiver device and Texas Instruments DVI Encoder, then ultimately to a display. A simplified block diagram of the platform is shown in Figure 4. 3

14 : LVDS Video Interface Figure 4. MachXO2 Control Board Simplified Block Diagram Rx LVDS: MDR Connector J Rx DVI Connector J2 Texas Instruments TFP4A U2 National Semiconductor DS9CR28 U3 LED 2 3 Texas Instruments TFP4 U3 National Semiconductor DS9CR288A U2 Tx DVI Connector J3 MachXO2 2 LCMXO2-2HC- 6MG32C U4 SW 3 Tx LVDS: MDR Connector J8 Figure 5 shows a block diagram of the MachXO2 PassThru design. Other than the receiver and transmitter modules, the center logic block can be any customized video processing design. For demonstration purposes, the design shown in Figure 5 was created to include the following features: Independent control over red, green and blue channels Individual channel pass, suppress, invert, and rotate Simple color bars insertion 4

15 : LVDS Video Interface Figure 5. PassThru Test Block Diagram RA_in RB_in RC_in RD_in RCLK_in : Display Interface Receiver LED(3:) eclk sclk rx_d rx_c rx_b rx_a Rx Signal Mapping r_vsync r_r r_g r_b r_hsync r_de DIPSW(3:) RGB Transform Color Bar Generator t_vsync t_r t_g t_b t_hsync t_de Tx Signal Mapping TA_out TB_out TC_out TD_out TCLK_out tx_d tx_c tx_b tx_a : Display Interface Transmitter The PassThru design example includes four sub-modules: Receiver, RGB Transform, Color Bars Generator, and Transmitter. On the MachXO2 Control Evaluation Board, the 4-position DIP-switch SW is used for manipulating the RGB channels. The functions of SW are listed in Table 4. 5

16 : LVDS Video Interface Table 4. RGB Transform Control DIPSW(3:) SW Red Channel Output Green Channel Output Blue Channel Output Inverted Red Inverted Green Inverted Blue Blue Red Green Green Blue Red PassThru Insert Colorbars PassThru PassThru PassThru Suppressed Suppressed Suppressed Suppressed Suppressed Blue Suppressed Green Suppressed Suppressed Green Blue Red Suppressed Suppressed Red Suppressed Blue Red Green Suppressed PassThru. and translate to off and on, respectively, in the physical switch. When selected, the Color Bar Generation module overwrites the active video frame with a color bar pattern. The blanking data is passed unchanged. The active video line is divided into eight columns. The color sequence is: White, Yellow, Cyan, Green, Magenta, Red, Blue, Black. Table 5 describes the LED diplay function. Table 5. LED Display LE LE LED LED On: Rx Bit-align locked Bit-alignment module phase determination (-) 6

17 : LVDS Video Interface Implementation Table 6. Performance and Resource Utilization Device Family Language Speed Grade Utilization f MAX (MHz) I/Os ECP5 3 MachXO3L 2 Verilog-LSE Verilog-Syn VHDL-LSE VHDL-Syn Verilog-Syn Verilog-LSE VHDL-Syn VHDL-LSE Verilog-LSE Verilog-Syn MachXO2 VHDL-LSE VHDL-Syn Performance and utilization characteristics are generated using LCMXO2-2HC-6MG32C with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary. 2. Performance and utilization characteristics are generated using LCMXO3L-43C-6BG256C with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary. 3. Performance and utilization characteristics are generated using LFE5UM-85F-8BG65S with Lattice Diamond 3.4 design software. When using this design in a different device, density, speed, or grade, performance and utilization may vary.

18 : LVDS Video Interface Technical Support Assistance Submit a technical support case through Revision History Date Version Change Summary September 25.4 Changed document title to MachXO2, MachXO3 and ECP5 : LVDS Video Interface. Updated Technical Support Assistance section. January 25.3 Updated Table 6, Performance and Resource Utilization. Updated to support Lattice Diamond 3.4 Added LSE support for all the device families. March 24.2 Updated Sapphire device to ECP5 device. Updated Table 6, Performance and Resource Utilization. Added support for MachXO3L device family. Updated to support ECP5 device family. September 23. Changed document title to Display Interface Added support for Sapphire device family. Updated corporate logo. Updated Technical Support Assistance information. Changed RD_in data from G to G in the Timings of Video Signals and the Display Interface figure. November 2. Initial release. 8

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS

MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS MANAGING IMAGE DATA IN AUTOMOTIVE INFOTAINMENT APPLICATIONS USING LOW COST PLDS August 2011 Lattice Semiconductor 5555 Northeast Moore Ct. Hillsboro, Oregon 97124 USA Telephone: (503) 268-8000 www.latticesemi.com

More information

MachXO2 sysclock PLL Design and Usage Guide

MachXO2 sysclock PLL Design and Usage Guide March 2017 Technical Note TN1199 Introduction MachXO2 devices support a variety of I/O interfaces such as display interfaces (7:1 LVDS) and memory interfaces (LPDDR, DDR, DDR2). In order to support applications

More information

Implementing High-Speed Interfaces with MachXO3 Devices

Implementing High-Speed Interfaces with MachXO3 Devices March 25 Introduction Technical Note TN28 In response to the increasing need for higher data bandwidth, the industry has migrated from the traditional Single Data Rate (SDR) to the Double Data Rate (DDR)

More information

CrossLink sysclock PLL/DLL Design and Usage Guide

CrossLink sysclock PLL/DLL Design and Usage Guide CrossLink sysclock PLL/DLL Design and Usage Guide FPGA-TN-02015 Version 1.1 July 2016 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Clock/Control Distribution Network... 5 3. CrossLink

More information

Parallel to MIPI CSI-2 TX Bridge

Parallel to MIPI CSI-2 TX Bridge January 2015 Reference Design RD1183 Introduction The Mobile Industry Processor Interface (MIPI) has become a specification standard for interfacing components in consumer mobile devices. The MIPI Camera

More information

8b/10b Encoder/Decoder

8b/10b Encoder/Decoder 8b/b Encoder/Decoder February 22 Introduction Reference Design RD2 Many serial data transmission standards utilize 8b/b encoding to ensure sufficient data transitions for clock recovery. This reference

More information

Microtronix Video LVDS SerDes Transmitter / Receiver IP Core

Microtronix Video LVDS SerDes Transmitter / Receiver IP Core Microtronix Video LVDS SerDes Transmitter / Receiver IP Core User Manual Revision 2.2 4056 Meadowbrook Drive, Unmit 126 London, ON Canada N5L 1E3 www.microtronix.com Document Revision History This user

More information

ECP5 and ECP5-5G High-Speed I/O Interface

ECP5 and ECP5-5G High-Speed I/O Interface November 2015 Introduction Technical Note TN1265 ECP5 TM and ECP5-5G TM devices support high-speed I/O interfaces, including Double Data Rate (DDR) and Single Data Rate (SDR) interfaces, using the logic

More information

BSCAN2 Multiple Scan Port Linker

BSCAN2 Multiple Scan Port Linker March 2015 Introduction Reference Design RD1002 According to the IEEE 1149.1 Boundary Scan System, every complex system can have more than one boundary scan compliant scan port. This design adds the capability

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Minimizing System Interruption During Configuration Using TransFR Technology

Minimizing System Interruption During Configuration Using TransFR Technology October 2015 Technical Note TN1087 Introduction One of the fundamental benefits of using an FPGA is the ability to reconfigure its functionality without removing the device from the system. A number of

More information

LatticeSC sysclock PLL/DLL User s Guide

LatticeSC sysclock PLL/DLL User s Guide July 2008 Introduction Technical Note TN1098 This user s guide describes the clocking resources available in the LatticeSC architecture. Details are provided for primary clocks, edge clocks, and secondary

More information

Introduction to the syshsi Block ispxpga and ispgdx2

Introduction to the syshsi Block ispxpga and ispgdx2 April 2003 Introduction Introduction to the syshsi Block ispxpga and ispgdx2 Technical Note Embedding clocks into serial data streams is a popular technique in high-speed data communications systems applications.

More information

LCMXO3LF-9400C SED/SEC Demo

LCMXO3LF-9400C SED/SEC Demo FPGA-UG-02023 Version 1.0 June 2017 Contents 1. Introduction... 4 1.1. Demo Design Overview... 4 1.2. MachXO3-9400 Development Board and Resources... 5 2. Functional Description... 6 3. Demo Package...

More information

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide

LatticeSC MACO Core LSCDR1X18 Low-Speed Clock and Data Recovery User s Guide Low-Speed Clock and Data Recovery User s Guide January 2008 Technical Note TN1122 Introduction The LatticeSC (low-speed clock and data recovery) MACO core is a fully integrated low-power clock and data

More information

Reference Design RD1065

Reference Design RD1065 April 011 Reference Design RD1065 Introduction Most microprocessors have a General Purpose Input/Output (GPIO) interface to communicate with external devices and peripherals through various protocols These

More information

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack

i_csn i_wr i_rd i_cpol i_cpha i_lsb_first i_data [15:0] o_data [15:0] o_tx_ready o_rx_ready o_rx_error o_tx_error o_tx_ack o_tx_no_ack October 2012 Introduction Reference Design RD1142 The Serial Peripheral Interface (SPI) is used primarily for synchronous serial communication between a host processor and its peripherals. The SPI bus

More information

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller

I 2 C Master Control FSM. I 2 C Bus Control FSM. I 2 C Master Controller February 2015 Introduction Reference Design RD1139 I 2 C or Inter-Integrated Circuit is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

Gamma Corrector IP Core User Guide

Gamma Corrector IP Core User Guide Gamma Corrector IP Core User Guide March 2015 IPUG64_1.3 Table of Contents Chapter 1. Introduction... 4 Quick Facts... 4 Features... 5 Chapter 2. Functional Description... 6 Block Diagram... 6 Gamma Correction

More information

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P

It is well understood that the minimum number of check bits required for single bit error correction is specified by the relationship: D + P P October 2012 Reference Design RD1025 Introduction This reference design implements an Error Correction Code (ECC) module for the LatticeEC and LatticeSC FPGA families that can be applied to increase memory

More information

5. High-Speed Differential I/O Interfaces in Stratix Devices

5. High-Speed Differential I/O Interfaces in Stratix Devices 5. High-Speed Differential I/O Interfaces in Stratix Devices S52005-3.2 Introduction To achieve high data transfer rates, Stratix devices support True- LVDS TM differential I/O interfaces which have dedicated

More information

MIPI D-PHY Bandwidth Matrix Table User Guide

MIPI D-PHY Bandwidth Matrix Table User Guide FPGA-UG-02041 Version 1.1 May 2018 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Video Format... 6 2.1. Video Resolution and Pixel Clock... 7 2.2. Color Depth... 8 3. MIPI CSI-2/DSI Interfaces...

More information

MIPI D-PHY Bandwidth Matrix and Implementation Technical Note

MIPI D-PHY Bandwidth Matrix and Implementation Technical Note MIPI D-PHY Bandwidth Matrix and Implementation FPGA-TN-02090 Version 1.1 January 2019 Contents Acronyms in This Document... 4 1. Introduction... 5 2. Video Format... 6 2.1. Video Resolution and Pixel Clock...

More information

MDIO Master and Slave Controllers

MDIO Master and Slave Controllers November 2013 Introduction Reference Design RD1194 Management Data Input/Output Interfaces, or, are specified in the IEEE 802.3 standard and intended to provide a serial interface to transfer management

More information

Using High-Speed Differential I/O Interfaces

Using High-Speed Differential I/O Interfaces Using High-Speed Differential I/O Interfaces in Stratix Devices December 2002, ver. 2.0 Application Note 202 Introduction Preliminary Information To achieve high data transfer rates, Stratix TM devices

More information

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices

Power Estimation and Management for LatticeECP/EC and LatticeXP Devices for LatticeECP/EC and LatticeXP Devices September 2012 Introduction Technical Note TN1052 One of the requirements when using FPGA devices is the ability to calculate power dissipation for a particular

More information

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh

Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh XAPP7 (v.2) July 2, 25 Application te: Virtex-4 Family Dynamic Phase Alignment for Networking Applications Author: Tze Yi Yeoh Summary This application note describes a dynamic phase alignment (DPA) application

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

MachXO2 SED Usage Guide

MachXO2 SED Usage Guide January 2017 Introduction Technical Note TN1206 Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became

More information

ispgdx2 vs. ispgdx Architecture Comparison

ispgdx2 vs. ispgdx Architecture Comparison isp2 vs. isp July 2002 Technical Note TN1035 Introduction The isp2 is the second generation of Lattice s successful isp platform. Architecture enhancements improve flexibility and integration when implementing

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

Power Estimation and Management for MachXO Devices

Power Estimation and Management for MachXO Devices September 2007 Technical Note TN1090 Introduction One requirement for design engineers using programmable devices is to be able to calculate the power dissipation for a particular device used on a board.

More information

Importing HDL Files with Platform Manager 2

Importing HDL Files with Platform Manager 2 August 2014 Introduction Technical Note TN1287 The Platform Manager 2 is a fast-reacting, programmable logic based hardware management controller. Platform Manager 2 is an integrated solution combining

More information

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide

MachXO3 Soft Error Detection (SED)/ Correction (SEC) Usage Guide March 2017 Technical Note TN1292 Introduction Memory errors can occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon first became an

More information

MIPI CSI2-to-CMOS Parallel Sensor Bridge

MIPI CSI2-to-CMOS Parallel Sensor Bridge MIPI CSI2-to-CMOS April 2014 Introduction Reference Design RD1146 The majority of image sensors in the consumer market use the MIPI CSI2 interface. The Mobile Industry Processor Interface (MIPI) has become

More information

Byte-to-Pixel Converter IP User Guide

Byte-to-Pixel Converter IP User Guide FPGA-IPUG-02027 Version 1.0 July 2017 Contents 1. Introduction... 4 1.1. Quick Facts... 4 1.2. Features... 5 1.3. Conventions... 5 1.3.1. Nomenclature... 5 1.3.2. Data Ordering and Data Types... 5 1.3.3.

More information

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers

Each I2C master has 8-deep transmit and receive FIFOs for efficient data handling. SPI to Dual I2C Masters. Registers February 205 Introduction Reference Design RD73 I2C and SPI are the two widely used bus protocols in today s embedded systems. The I2C bus has a minimum pin count requirement and therefore a smaller footprint

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

Color Space Converter

Color Space Converter March 2009 Reference Design RD1047 Introduction s (CSC) are used in video and image display systems including televisions, computer monitors, color printers, video telephony and surveillance systems. CSCs

More information

I 2 C Slave Controller. I 2 C Master o_timeout_intr

I 2 C Slave Controller. I 2 C Master o_timeout_intr February 2015 Reference Design RD1140 Introduction I 2 C, or Inter-Integrated Circuit, is a popular serial interface protocol that is widely used in many electronic systems. The I 2 C interface is a two-wire

More information

ice40 UltraPlus Display Frame Buffer User Guide

ice40 UltraPlus Display Frame Buffer User Guide FPGA-UG-02009 Version 1.1 March 2017 Contents 1. Introduction... 3 1.1. Clock Generator Module... 3 1.2. Main Control Module... 3 1.3. SPRAM Module... 4 1.4. Decompress Module... 4 1.5. 8BIT2RGB Module...

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4

LED1 LED2. Capacitive Touch Sense Controller LED3 LED4 October 2012 Introduction Reference Design RD1136 Capacitive sensing is a technology based on capacitive coupling which takes human body capacitance as input. Capacitive touch sensors are used in many

More information

Benefits of Embedded RAM in FLEX 10K Devices

Benefits of Embedded RAM in FLEX 10K Devices Benefits of Embedded RAM in FLEX 1K Devices January 1996, ver. 1 Product Information Bulletin 2 Introduction Driven by the demand to integrate many more digital functions in a single device, custom logic

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

ORCA Series 3 Programmable Clock Manager (PCM)

ORCA Series 3 Programmable Clock Manager (PCM) Application Note ORCA Series 3 Programmable Clock Manager (PCM) Introduction As FPGA designs continue to increase in size, speed, and complexity, the need for system-level functions becomes extremely important

More information

LatticeECP2/M Soft Error Detection (SED) Usage Guide

LatticeECP2/M Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide July 2008 Introduction Technical Note TN1113 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

ice40 SPRAM Usage Guide Technical Note

ice40 SPRAM Usage Guide Technical Note TN1314 Version 1.0 June 2016 Contents 1. Introduction... 3 2. Single Port RAM s... 3 2.1. User SB_SPRAM256KA... 3 2.2. SPRAM Port Definitions and GUI Options... 4 3. Power Save States for SPRAM... 6 3.1.

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

LatticeECP2/M sysclock PLL/DLL Design and Usage Guide

LatticeECP2/M sysclock PLL/DLL Design and Usage Guide LatticeECP2/M sysclock PLL/DLL August 2008 Technical Note TN1103 Introduction This user s guide describes the clock resources available in the LatticeECP2 and LatticeECP2M device architectures. Details

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager

Fail-Safe Startup Sequencing During Field Upgrades with Platform Manager Fail-Safe Startup Sequencing During Field Upgrades June 2012 Application Note AN6088 Introduction The Platform Manager device family is a single-chip, fully-integrated solution for supervisory and control

More information

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011

LatticeSC/M Family flexipcs Data Sheet. DS1005 Version 02.0, June 2011 DS1005 Version 02.0, June 2011 Table of Contents June 2011 Introduction to flexipcs... 1-1 flexipcs Features... 1-1 flexipcs Introduction... 1-2 Architecture Overview... 1-2 flexipcs Quad... 1-2 flexipcs

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n

UART Register Set. UART Master Controller. Tx FSM. Rx FSM XMIT FIFO RCVR. i_rx_clk o_intr. o_out1 o_txrdy_n. o_out2 o_rxdy_n i_cs0 i_cs1 i_ads_n October 2012 Reference Design RD1138 Introduction The Universal Asynchronous Receiver/Transmitter (UART) performs serial-to-parallel conversion on data characters received from a peripheral device or a

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

MIPI D-PHY to CMOS Interface Bridge Soft IP

MIPI D-PHY to CMOS Interface Bridge Soft IP Supporting MIPI CSI-2 and MIPI DSI for Image Sensors and Displays FPGA-IPUG-02004 Version 1.3 January 2017 Contents 1. Introduction... 4 1.1. Quick Facts... 5 1.2. Features... 5 1.3. Conventions... 5 1.3.1.

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Lattice TI ADC Demo User s Guide

Lattice TI ADC Demo User s Guide Lattice TI ADC Demo User s Guide January 2008 UG04_01.0 Introduction This design demonstrates the ability of the LatticeECP2 FPGA to interface to the Texas Instruments (TI) ADS644X and ADS642X family of

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-MF9504-9.1 Document last updated for Altera Complete Design Suite version:

More information

Power Estimation and Management for LatticeXP2 Devices

Power Estimation and Management for LatticeXP2 Devices February 2007 Introduction Technical Note TN1139 One requirement for design engineers using programmable devices is the ability to calculate the power dissipation for a particular device used on a board.

More information

Chapter 2. Cyclone II Architecture

Chapter 2. Cyclone II Architecture Chapter 2. Cyclone II Architecture CII51002-1.0 Functional Description Cyclone II devices contain a two-dimensional row- and column-based architecture to implement custom logic. Column and row interconnects

More information

LatticeXP2 Hardware Checklist

LatticeXP2 Hardware Checklist September 2013 Technical Note TN1143 Introduction Starting a complex system with a large FPGA hardware design requires that the FPGA designer pay attention to the critical hardware implementation to increase

More information

Fibre Channel Arbitrated Loop v2.3

Fibre Channel Arbitrated Loop v2.3 - THIS IS A DISCONTINUED IP CORE - 0 Fibre Channel Arbitrated Loop v2.3 DS518 March 24, 2008 0 0 Introduction The LogiCORE IP Fibre Channel Arbitrated Loop (FC-AL) core provides a flexible, fully verified

More information

Documentation. Implementation Xilinx ISE v10.1. Simulation

Documentation. Implementation Xilinx ISE v10.1. Simulation DS317 September 19, 2008 Introduction The Xilinx LogiCORE IP Generator is a fully verified first-in first-out () memory queue for applications requiring in-order storage and retrieval. The core provides

More information

10Gb Ethernet PCS Core

10Gb Ethernet PCS Core July 2002 Features Complete 10Gb Ethernet Physical Coding Sublayer (PCS) Solution Based on the ORCA 10 Gbits/s Line Interface (ORLI10G) FPSC, Enabling Flexible10GbE LAN/WAN Application Solutions. IP Targeted

More information

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices.

December 2002, ver. 1.1 Application Note For more information on the CDR mode of the HSDI block, refer to AN 130: CDR in Mercury Devices. Using HSDI in Source- Synchronous Mode in Mercury Devices December 2002, ver. 1.1 Application Note 159 Introduction High-speed serial data transmission has gained increasing popularity in the data communications

More information

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function.

FPGA. Logic Block. Plessey FPGA: basic building block here is 2-input NAND gate which is connected to each other to implement desired function. FPGA Logic block of an FPGA can be configured in such a way that it can provide functionality as simple as that of transistor or as complex as that of a microprocessor. It can used to implement different

More information

LatticeECP3 Digital Front End Demonstration Design User s Guide

LatticeECP3 Digital Front End Demonstration Design User s Guide LatticeECP3 Digital Front End User s Guide September 2013 UG68_01.0 Introduction LatticeECP3 Digital Front End This document provides technical information and operating instructions for LatticeECP3 Digital

More information

Optimal Management of System Clock Networks

Optimal Management of System Clock Networks Optimal Management of System Networks 2002 Introduction System Management Is More Challenging No Longer One Synchronous per System or Card Must Design Source-Synchronous or CDR Interfaces with Multiple

More information

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk

Minimizing Receiver Elastic Buffer Delay in the Virtex-II Pro RocketIO Transceiver Author: Jeremy Kowalczyk XAPP670 (v.0) June 0, 2003 Application Note: Virtex-II Pro Family Minimizing eceiver Elastic Buffer Delay in the Virtex-II Pro ocketio Transceiver Author: Jeremy Kowalczyk Summary This application note

More information

LatticeXP2 Soft Error Detection (SED) Usage Guide

LatticeXP2 Soft Error Detection (SED) Usage Guide Detection (SED) Usage Guide October 2012 Introduction Technical Note TN1130 Soft errors occur when high-energy charged particles alter the stored charge in a memory cell in an electronic circuit. The phenomenon

More information

ispxpld TM 5000MX Family White Paper

ispxpld TM 5000MX Family White Paper ispxpld TM 5000MX Family White Paper October 2002 Overview The two largest segments of the high density programmable logic market have traditionally been nonvolatile, Complex Programmable Logic Devices

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 Clocking and PLL

More information

Topics. Midterm Finish Chapter 7

Topics. Midterm Finish Chapter 7 Lecture 9 Topics Midterm Finish Chapter 7 ROM (review) Memory device in which permanent binary information is stored. Example: 32 x 8 ROM Five input lines (2 5 = 32) 32 outputs, each representing a memory

More information

UG0850 User Guide PolarFire FPGA Video Solution

UG0850 User Guide PolarFire FPGA Video Solution UG0850 User Guide PolarFire FPGA Video Solution Microsemi Headquarters One Enterprise, Aliso Viejo, CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136

More information

MachXO2 Family Data Sheet. DS1035 Version 3.1, March 2016

MachXO2 Family Data Sheet. DS1035 Version 3.1, March 2016 MachXO2 Family Data Sheet DS1035 Version 3.1, March 2016 Introduction March 2016 Features Flexible Logic Architecture Six devices with 256 to 6864 LUT4s and 18 to 334 I/Os Ultra Low Power Devices Advanced

More information

Design Guidelines for Optimal Results in High-Density FPGAs

Design Guidelines for Optimal Results in High-Density FPGAs White Paper Introduction Design Guidelines for Optimal Results in High-Density FPGAs Today s FPGA applications are approaching the complexity and performance requirements of ASICs. In some cases, FPGAs

More information

Face Tracking Using Convolutional Neural Network Accelerator IP Reference Design

Face Tracking Using Convolutional Neural Network Accelerator IP Reference Design Face Tracking Using Convolutional Neural Network Accelerator IP FPGA-RD-02037-1.0 May 2018 Contents 1. Introduction... 4 2. Related Documentation... 5 2.1. Soft IP Document... 5 2.2. Diamond Document...

More information

Remote Update Intel FPGA IP User Guide

Remote Update Intel FPGA IP User Guide Remote Update Intel FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Remote Update Intel FPGA IP User Guide... 3

More information

ice40 Ultra Self-Learning IR Remote User s Guide

ice40 Ultra Self-Learning IR Remote User s Guide ice40 Ultra Self-Learning IR Remote User s Guide June 2014 UG74_1.0 Introduction ice40 Ultra Self-Learning IR Remote User s Guide This guide describes how to use the ice40 Ultra Mobile Development Platform

More information

isppac-powr1208p1 Evaluation Board PAC-POWR1208P1-EV

isppac-powr1208p1 Evaluation Board PAC-POWR1208P1-EV March 2007 Introduction Application Note AN6059 The Lattice Semiconductor isppac -POWR1208P1 In-System-Programmable Analog Circuit allows designers to implement both the analog and digital functions of

More information

Intel MAX 10 High-Speed LVDS I/O User Guide

Intel MAX 10 High-Speed LVDS I/O User Guide Intel MAX 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 High-Speed LVDS

More information

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388

LatticeSCM SPI4.2 Interoperability with PMC-Sierra PM3388 August 2006 Technical Note TN1121 Introduction The System Packet Interface, Level 4, Phase 2 (SPI4.2) is a system level interface, published in 2001 by the Optical Internetworking Forum (OIF), for packet

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel MAX 10 Clocking and PLL

More information

AGM CPLD AGM CPLD DATASHEET

AGM CPLD AGM CPLD DATASHEET AGM CPLD DATASHEET 1 General Description AGM CPLD family provides low-cost instant-on, non-volatile CPLDs, with densities from 256, 272 to 576 logic LUTs and non-volatile flash storage of 256Kbits. The

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

LVDS SERDES Transmitter / Receiver IP Cores User Guide

LVDS SERDES Transmitter / Receiver IP Cores User Guide LVDS SERDES Transmitter / Receiver IP Cores User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. LVDS SERDES Transmitter/Receiver

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

MIPI CSI-2 Receiver Decoder for PolarFire

MIPI CSI-2 Receiver Decoder for PolarFire UG0806 User Guide MIPI CSI-2 Receiver Decoder for PolarFire June 2018 Contents 1 Revision History... 1 1.1 Revision 1.1... 1 1.2 Revision 1.0... 1 2 Introduction... 2 3 Hardware Implementation... 3 3.1

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

logibayer.ucf Core Facts

logibayer.ucf Core Facts logibayer Color Camera Sensor Bayer Decoder March 6, 2009 Product Specification Core Facts Provided with Core Xylon d.o.o. Documentation User s Guide Design File Formats Encrypted VHDL Fallerovo setaliste

More information

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices

Implementing PLL Reconfiguration in Stratix & Stratix GX Devices December 2005, ver. 2.0 Implementing PLL Reconfiguration in Stratix & Stratix GX Devices Application Note 282 Introduction Phase-locked loops (PLLs) use several divide counters and delay elements to perform

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information