AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

Size: px
Start display at page:

Download "AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices"

Transcription

1 AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN This application note describes how to implement deterministic latency for Common Public Radio Interface (CPRI) and Open Base Station Architecture Initiative Reference Point 3-01 (OBSAI RP3-01) protocols with transceivers in Stratix IV, HardCopy IV, Arria II GX, and Cyclone IV devices. It also describes the transceiver configuration and clocking scheme to implement deterministic latency. You can create your proprietary CPRI, OBSAI RP3-01, or other interfaces requiring deterministic latency designs based on the implementation descriptions in this application note. The CPRI and OBSAI RP3-01 are point-to-point, high-speed serial interfaces in wireless applications for connecting base station component and remote radio heads. Figure 1 shows various CPRI topologies. Fundamentally, CPRI connects between a radio equipment controller (C) and radio equipment () modules (in single-hop connections). For high bandwidth, multiple s can be chained to a single C (in multi-hop connections), with CPRI links between the modules. The C port is always the master port and the is the slave port for links between C and. For links between s, the port nearest to C is the master. Figure 1. CPRI Topologies Ring C Tree and branch Chain Point-to Point The CPRI and OBSAI RP3-01 specifications have stringent requirements concerning the accuracy of round-trip delay measurements that the system must make. For example, the CPRI specification requires that the round-trip delay measurement accuracy, excluding the cable, must be within ± ns for single-hop and multi-hop connections. In multi-hop connections, the allowed delay uncertainty is accumulated over the number of hops in the connection. To speed development time, Altera offers the complete easy-to-use intellectual property (IP) core for building a CPRI v4.1 that includes the transceiver. f For more information about the Altera CPRI IP solution, refer to the Altera CPRI IP. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

2 Page 2 Transceiver Support for CPRI and OBSAI RP3-01 Applications Transceiver Support for CPRI and OBSAI RP3-01 Applications The Stratix IV, HardCopy IV, Arria II GX, and Cyclone IV devices include embedded transceivers with deterministic latency features that easily meet the delay accuracy requirements of the CPRI and OBSAI RP3-01 specifications. The deterministic latency features enable you to accurately compute the transceiver datapath latencies when implementing the interfaces. Table 1 indicates the supported data rates for CPRI and OBSAI RP3-01 implementations using transceivers in Stratix IV, HardCopy IV, Arria II GX, and Cyclone IV devices. Table 1. Supported Data Rates for CPRI and OBSAI RP3-01 Implementations (Note 1) CPRI Protocol OBSAI RP3-01 Data Rate (Mbps) Stratix IV HardCopy IV Arria II GX Cyclone IV v v v v v v v v v v v v 3072 v v v v v v v 6144 v v v 768 v v v v 1536 v v v v 3072 v v v v 6144 v v v Note to Table 1: (1) To implement deterministic latency on other proprietary protocols, refer to the respective device family data sheet on the supported data rate. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

3 Implementing Deterministic Latency for CPRI and OBSAI RP3-01 Interfaces Page 3 Implementing Deterministic Latency for CPRI and OBSAI RP3-01 Interfaces This section explains the methods for configuring the transceiver channels with deterministic latency features to implement the CPRI and OBSAI RP3-01 interfaces. Figure 2 shows an overview of CPRI implementation using transceivers. Figure 2. Overview of CPRI Implementation using Transceivers C #1 #2 Transceiver Channel (Master) Tx Transceiver Channel (Slave) Rx (1) (1) Sync Buffer Sync Buffer Transceiver Channel (Master) Tx Transceiver Channel (Slave) Rx (1) Sync Buffer User Logic CPRI PD User Logic PD CPRI PD User Logic Sync Buffer Rx Tx Sync Buffer Rx Tx PD PD Clean-Up PLL Clean-Up PLL Note to table Figure 2: (1) The selected synchronization buffer with phase detector is not required for transceiver implementation with PLL PFD feedback. Use the Deterministic Latency functional mode on the transceiver channels. In this mode, the transmitter channel is configured with no delay uncertainty, and with datapath latency fixed relative to the core fabric interface clock (on the tx_clkout port). The transmitter channel datapath latency can be fixed relative to the transmitter phase-locked loop (PLL) input reference clock (on the pll_inclk port) by enabling the PLL phase frequency detector (PFD) feedback. Using the PLL PFD feedback simplifies port implementations in remote radio heads, effectively eliminating the need for additional logic in the core fabric to implement a synchronization buffer with a phase detector. The requirement for port implementations in remote radio heads without PLL PFD feedback is discussed in Interface Clocking on page 9. The phase detector implementation is discussed in Phase Detector Logic on page In CPRI, PLL PFD feedback is optional for C port implementation. Altera recommends enabling the PLL PFD feedback for port implementation to simplify your interface design. For the receiver, the channel datapath is configured with no latency uncertainty. The latency variation from the link synchronization function (in the word aligner block) is deterministic with the rx_bitslipboundaryselectout port. You can optionally fix the round trip transceiver latency for port implementation in the remote radio head to compensate the latency variation in the word aligner block using the tx_bitslipboundaryselect port. The tx_bitslipboundaryselect port is available to control the amount of bits to be slipped in the transmitter serial data July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

4 Page 4 Implementing Deterministic Latency for CPRI and OBSAI RP3-01 stream. You can optionally use the tx_bitslipboundaryselect port to round the round-trip latency to a whole number of cycles. Using the byte deserializer in the transceiver requires you to create additional logic in the core fabric to determine if the comma byte is received in the lower or upper byte of the word. The delay is dependent on which word the comma byte appears in. The total transmitter and receiver channel datapath latencies are computed as follows: Total transmitter channel datapath latency = transmitter fixed latency + tx_bitslipboundaryselect delay Total receiver channel datapath latency = receiver fixed latency + rx_bitslipboundaryselectout delay + byte deserializer delay Figure 3 shows the transceiver configuration in Deterministic Latency mode. In the receiver channel, the recovered clock is available in the core fabric to capture receiver data from the rx_dataout port. The 1 (single) and 4 (bonded) channel configurations are supported in this mode. Figure 3. Transceiver Configuration in Deterministic Latency Mode Core Fabric Transmitter Channel PCS Transmitter Channel PMA TX Phase Compensation FIFO (1) Byte Serializer 8B/10B Encoder Serializer tx_dataout tx_clkout PCIe hard IP PIPE Interface wrclk tx_clkout[0] rdclk wrclk rdclk /2 Receiver Channel PCS Low-Speed Parallel Clock Local Clock Divider Receiver Channel PMA RX Phase Compensation FIFO (1) Byte Ordering Byte Deserializer 8B/10B Decoder Rate Match FIFO Deskew FIFO Word Aligner Deserializer CDR rx_datain High-Speed Serial Clock rx_clkout /2 Parallel Recovered Clock Parallel Recovered Clock Note to Figure 3: (1) The TX and RX phase compensation FIFOs are configured to register mode. 1 Physical layer functions required for CPRI, OBSAI RP3-01, or other protocols not offered in the transceiver must be implemented in user logics. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

5 Transceiver Channel Instantiation Page 5 Table 2 lists the transceiver configurations for the supported CPRI and OBSAI RP3-01 data rates. Table 2. FPGA Fabric-Transceiver Interface Clock Rates for Supported Line Rates and Channel Widths Protocol Line Rate (Mbps) Stratix IV/HardCopy IV Interface Clock Rates (MHz) Arria II GX Interface Clock Rates (MHz) Cyclone IV Interface Clock Rates (MHz Channel Width (1) Channel Width (1) Channel Width (1) 8/10 16/20 (2) 32/40 (3) 8/10 16/20 (2) 8/10 16/20 (4) CPRI OBSAI RP3 Notes to Table 2: (4) (4) (5) (5) (5) (4) (4) (5) (1) The 8/16/32 bit channel widths are supported with 8B/10B encoder/decoder and the 10/20/40 bits without 8B1/0B encoder/decoder. The ALTGX megafunction automatically enables the 8B/10B encoder/decoder according to the channel width selection. (2) Supported in double-width mode or with the byte serializer/deserializer block. (3) Supported in double-width mode and with the byte serializer/deserializer block. (4) Supported with the byte serializer/deserializer block only. (5) Supported in double-width mode only. Transceiver Channel Instantiation This section describes an example transceiver configuration when using the ALTGX MegaWizard Plug-In Manager to implement the CPRI or OBSAI RP3-01 interface with deterministic latency features. The example assumes the implementation of an slave port (transmit and receive), with the CPRI link running at Gbps using the Stratix IV GX device. The 8B/10B encoder and decoder block is used in this example. Perform the following steps to create the transceiver instantiation for the example: 1. Create a transceiver instance using the ALTGX MegaWizard Plug-In Manager. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

6 Page 6 Transceiver Channel Instantiation 2. In the General tab, select the following options: a. Which protocol you will be using? Deterministic Latency b. Which subprotocol you will be using? X1 c. What is the operation mode? Transmitter and Receiver d. What is the number of channels? 1 e. What is the deserializer block width? Double f. What is the channel width? 32 g. What is the effective data rate? 6144 h. What is the input clock frequency? For supported transceiver configurations at desired data rates for the CPRI and OBSAI RP3-01 interfaces, refer to Table In the PLL/Ports tab, select Enable PLL phase frequency detector (PFD) feedback to compensate latency uncertainty in the Tx dataout and Tx clkout paths relative to the reference clock option. 1 To select the PLL PFD feedback option, the input clock frequency (provided to pll_inclk) must be the same as the transmitter interface clock frequency (on the tx_clkout port). In this example, the pll_inclk frequency of MHz is the same as the tx_clkout frequency (as listed in Table 2). 1 The PLL PFD feedback is not supported when using the ATX PLL in Stratix IV and HardCopy IV devices. 4. In the Ports/Calibration tab, ensure that the Enable TX Phase Comp FIFO in register mode option is checked. If you uncheck it, the FIFO contributes one or two clock cycles of latency uncertainty. 5. In the Word Aligner tab, select the Use manual word alignment mode option to control the word aligner operation using the rx_enapatternalign port. 1 Only the parameters directly relevant to deterministic latency implementation in the ALTGX MegaWizard Plug-In Manager are listed. f For additional information about the options and settings in the ALTGX MegaWizard Plug-In Manager interface, refer to the ALGX Transceiver Setup Guide in volume 3 of the Stratix IV Device Handbook. Considerations when implementing auto-rate negotiation are discussed in Design Considerations for Auto-Rate Negotiation on page After completing the additional options and settings, click Finish. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

7 Input Reference Clocks and Transmit Side Clock Generation Page 7 Input Reference Clocks and Transmit Side Clock Generation This section explains the input reference clock connections and schemes for the transmit side clock generation. The clocking requirements are different for port implementations in base station component and remote radio heads. For example, the CPRI specification requires any to reuse a transmit clock traceable to C (which can be the receiver recovered clock from the slave port) on its master ports. Figure 4 shows the methods of implementing input reference clocking for CPRI port implementations in C and s (in single-hop and multi-hop connections). Figure 4. Input Reference Clocking in C and s (Note 1) C #1 #2 pll_inclk pll_inclk pll_inclk pll_inclk ALTGX ALTGX ALTGX ALTGX rx_clkout rx_clkout rx_cruclk rx_cruclk rx_cruclk rx_cruclk Clean-Up PLL Clean-Up PLL Note to Figure 4: (1) The input clock ports shown in the diagram are applicable for Stratix IV, HardCopy IV, and Arria II GX devices only. 1 When configured in Deterministic Latency mode, the Cyclone IV GX device provides an input reference clock port for each transmitter PLL and MPLL that clocks the CDR. For example, in a 1 transmitter and receiver channel configuration, the input reference clock is available as the pll_inclk[1:0] port; with the pll_inclk[0] port as the input reference clock for the transmitter PLL, and the pll_inclk[1] port as the input reference clock for the MPLL that clocks the CDR. For the C, use a common input reference clock source for the transmitter PLL and the CDR. In any, send the receiver recovered clock from the slave port to an external clean-up PLL before feeding into the transmitter PLL input reference clocks of the slave port and master port (in a multi-hop connection). The external clean-up PLL is required to reduce the phase noise of the receiver recovered clock. Use the following guidelines when selecting the appropriate external clean-up PLL for port implementation in : Choose an external cleanup PLL device with a sufficient input and output frequency range to handle auto-rate negotiation scenarios in your application. The output clock from the clean-up PLL must meet the TX FCLK phase noise requirement as specified in respective device family data sheets. An example of a suitable clean-up PLL device for such implementation is the CDCL6010 from Texas Instruments. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

8 Page 8 Input Reference Clocks and Transmit Side Clock Generation Use any of the supported input reference clocking methods to the transmitter PLL and the CDR. f For details about supported input reference clocking methods in each device family, refer to the following documents: Stratix IV Transceiver Clocking chapter in volume 2 of the Stratix IV Device Handbook HardCopy IV GX Transceiver Architecture chapter in volume 3 of the HardCopy IV Device Handbook Arria II GX Transceiver Clocking chapter in volume 2 of the Arria II GX Device Handbook Cyclone IV Transceiver Architecture chapter in volume 2 of the Cyclone IV Device Handbook The transmitter PLL utilization is dependent on PLL PFD feedback usage. When the feedback is enabled, a PLL is required for each transmitter channel to independently compensate the latency uncertainty in respective channels. For example, a multi-hop implementation requires two PLLs when the PLL PFD feedback is enabled. Implementing a multi-hop with the feedback using Stratix IV, HardCopy IV, and Arria II GX devices requires a transceiver block and both its CMU PLLs. For Cyclone IV devices, a transceiver block and three left PLLs are required to implement a multi-hop with feedback enabled. Additionally, four transmit channels can be bonded together (in 4 channel configurations) to share a PLL with common latency. The bonded channels must all run at the same data rate, which may limit auto-rate negotiation options. Figure 5 shows an example of a transmit side clock generation and CDR clocking for multi-hop using the Cyclone IV GX device with PLL PFD feedback enabled. Figure 5. Transmit Side Clock Generation and CDR Clocking for Multi-Hop using Cyclone IV GX Device with PLL PFD Feedback Enabled (Note 1) MPLL_6 Ch 3 Tx Ch 3 Rx Ch 2 Tx Transceiver Block GXBL0 Ch 2 Rx Ch 1 Tx (Master) Ch 1 Rx (Master) High and Low-Speed Clocks CDR Clocks Ch 0 Tx (Slave) Ch 0 Rx (Slave) MPLL_5 GPLL_1 Note to table Figure 5: (1) The example assumes the channels that implement slave and master ports are running at the same data rate. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

9 Interface Clocking Page 9 Interface Clocking This section explains the core fabric-transceiver interface clocking requirements. The clocking requirements are dependent on PLL PFD feedback usage and core fabric-transceiver interface clock frequency. The PLL PFD feedback enables the transmitter channel datapath latency to be fixed relative to the input reference clock on the pll_inclk port by ensuring a deterministic path between clocks from the tx_clkout and pll_inclk ports. Use the clock from the pll_inclk port to clock core registers sending data to the transmitter channel as shown in Figure 6. Figure 6. Core Fabric-Transmitter Interface Clocking with the PLL PFD Feedback (Note 1) reference clock source tx_reg tx_pipereg pll_inclk D Q D Q tx_datain/tx_ctrlenable ALTGX tx_dataout tx_clkout Note to Figure 6: (1) Registers in the shaded block are optionally used to achieve timing closure. Without the PLL PFD feedback, the transmitter channel datapath latency is fixed relative to the interface clock on the tx_clkout port. Use the clock from the tx_clkout port to clock core registers sending data to the transmitter channel as shown in Figure 7. 1 Without the PLL PFD feedback, delay uncertainty exists between clocks from the tx_clkout and pll_inclk ports. Figure 7. Core Fabric-Transmitter Interface Clocking without the PLL PFD Feedback (Note 1) tx_reg tx_pipereg1 tx_pipereg2 (2) D Q D Q D Q tx_datain/tx_ctrlenable ALTGX tx_dataout RCLK or GCLK PCLK tx_clkout Notes to Figure 7: (1) Registers in the shaded block are optionally used to achieve timing closure. (2) Additional timing constraint is required when the tx_pipereg2 registers are used. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

10 Page 10 Interface Clocking Depending on the implementations, there may be delay uncertainty transferring data between the receiver and transmitter clock domains. The receiver recovered clock may have an unknown phase relationship with the transmitter clock, resulting in a delay uncertainty that may exceed the required accuracy of the round-trip delay measurement limit for the interface. For example, delay uncertainty occurs in CPRI C port implementation without PLL PFD feedback between clocks from the rx_clkout and tx_clkout ports, and in CPRI slave port implementation without PLL PFD feedback between clocks from the pll_inclk (derived from rx_clkout through the external clean-up PLL) and tx_clkout ports. To overcome this, create an additional logic in the core fabric to implement a synchronization buffer with a phase detector to determine the phase difference. Include the measured delay from the phase difference into the total round-trip latency computation. The phase detector implementation is discussed in Phase Detector Logic on page 11. In certain implementations at high core fabric-transceiver interface clock frequencies, you may not achieve timing closure when interfacing core registers to the transmitter and receiver channels. To meet the core fabric-transceiver interface timing requirement, use the following methods for each configuration scenario: Transmitter Channel with PLL PFD Feedback Pipeline the data with intermediate registers (tx_pipereg in Figure 6) using the clock from the tx_clkout port. Include the following assignment into the.qsf file which assigns non-global routing to the clock from the tx_clkout port: set_instance_assignment -name GLOBAL_SIGNAL OFF -from gxb_rec_fb*tx_clkout_int_wire[0] -to tx_pipereg* gxb_rec_fb is the transceiver instance that provides the tx_clkout port, and tx_pipereg are the registers used to pipeline the data to the transmitter channel. Transmitter Channel without PLL PFD Feedback Pipeline the data with negative-edge triggered intermediate registers (tx_pipereg1 and tx_pipereg2 in Figure 7) using the clock from tx_clkout port. Include the following constraint into the.sdc file, which adjusts the setup requirement between the pipeline registers to transmitter channel. set_multicycle_path -setup -from [get_registers tx_pipereg2*] 2 The tx_pipereg2 are the last stage of pipeline registers interfacing to the transmitter channel. Receiver Channel Include the following constraint into the.sdc file, which adjusts the setup requirement between the receiver channel to capture registers (diagram shown in Figure 8). set_multicycle_path -setup -from [get_registers rx_reg*] 0 The rx_reg are registers used to capture data from the receiver channel. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

11 Phase Detector Logic Page 11 Figure 8. Core Fabric-Receiver Interface Clocking (Note 1) rx_reg (1) Q D rx_dataout/rx_ctrldetect ALTGX rx_clkout Note to table Figure 8: (1) Additional timing constraint is optional to achieve timing closure. For details about the methods described for scenarios on the transmitter channel without PLL PFD feedback and receiver channel, refer to AN 580: Achieving Timing Closure in Basic (PMA Direct) Functional Mode. 1 Use the described methods to meet the core fabric-transceiver interface timing requirement only if you are not able to achieve timing when interfacing core registers to the transmitter and receiver channels. Each stage of pipeline registers adds a latency of one clock cycle to the transmit datapath. Phase Detector Logic This section describes an example of phase detector logic implementation to measure the phase difference between the clock domains. Use the measured phase difference to calculate the total latency of the interface datapath. The phase detection design in this example takes advantage of the PLL dynamic phase shifting feature that is supported in the Stratix IV, HardCopy IV, Arria II GX, and Cyclone IV devices. The logic in the design continuously adjusts the phase of a measurement clock to determine the relative phase offsets between the desired clock domains. Figure 9 shows a block diagram of the phase detection design that measures the phase difference between two clock domains. For example, between clocks from rx_clkout and tx_clkout when used in an slave port without PLL PFD feedback. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

12 Page 12 Phase Detector Logic Figure 9. Block Diagram of Example Phase Detection Design (Note 1) Phase Detector Phase Detector rx_clkout D Q D Q Q D Q D tx_clkout measure_clk PLL reference_clk PLL Phase Shift State Machine phase of measure_clk Phase Offset Comparator Phase Offset Comparator rx_clkout_phase_offs tx_clkout_phase_offs The following list describes the implementation of each block in Figure 9: PLL The PLL (a core fabric PLL) takes a reference clock and generates a measurement clock with dynamic phase shift capability. The required phase shift step resolution depends on the system needs. A smaller phase shift step resolution provides a higher level of delay accuracy, but requires longer duration to step through all the steps in a clock period. Phase Detector The phase detector comprises a set of pipeline registers that samples the target clock with the measurement clock from the PLL. A phase detector is required for each clock domain that needs measurement. PLL Phase Shift State Machine The state machine controls the continuous phase increments of the measurement clock from the PLL and outputs the phase of the measurement clock. Phase Offset Comparator The comparator finds and reports the phase offsets that match the first rising edge of a target clock from the phase detector output and measurement clock from the PLL. A comparator is required for each clock domain that needs measurement. Using the outputs from the phase detection logic, the latency between two clock domains can be computed. Using the example illustrated in Figure 9, the latency between clocks from rx_clkout and tx_clkout is computed as follows: Latency between clocks from rx_clkout and tx_clkout = phase offsets for rx_clkout phase offsets for tx_clkout phase shift step resolution f For details about implementing dynamic phase shifting in Stratix IV, HardCopy IV, and Arria II GX devices, refer to the PLL Dynamic Phase Shifting in the Quartus II Software section of AN 454: Implementing PLL Reconfiguration in Stratix III and Stratix IV Devices. For Cyclone IV devices, refer to the Implementing PLL Dynamic Phase Shifting in Quartus II Software section in AN 507: Implementing PLL Reconfiguration in Cyclone III Devices. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

13 Design Considerations for Auto-Rate Negotiation Page 13 1 Create additional logic (for example, a synchronization buffer) to facilitate data transfer between the clock domains. Include the additional latencies from the data transfer logics to the total latency calculation. Design Considerations for Auto-Rate Negotiation When implementing auto-rate negotiation capability to the CPRI or OBSAI RP3-01 interfaces, consider the following: Configure the transceiver with the highest data rate intended for the device and use the supported dynamic reconfiguration features to switch to lower data rates. Perform timing closure with the transceiver channel configuration at the highest data rate intended for the port implementation. When using PLL PFD feedback, ensure that the clocks from the pll_inclk and tx_clkout ports have the same frequency at each reconfigured data rate. Take advantage of reconfiguration features on the TX local divider and TX PLL switching in Stratix IV, HardCopy IV, and Arria II GX devices to enable implementing up to four transmitter channels in a transceiver block with independent data rate reconfiguration capability. Take advantage of the reconfiguration feature on the RX local divider in the Cyclone IV device to enable implementing up to four receiver channels in a transceiver block with independent data rate reconfiguration capability that switches between two data rates (in multiples of two). Use bonded ( 4) channel configuration when PLL PFD feedback is required for all four transmitter channels. Create over-sampling logics in the core fabric for auto-rate negotiation on the transmit side. f For details on dynamic reconfiguration implementation when using transceivers, refer to the following documents for the respective device family: Stratix IV Dynamic Reconfiguration chapter in volume 2 of the Stratix IV Device Handbook HardCopy IV GX Dynamic Reconfiguration chapter in volume 3 of the HardCopy IV Device Handbook AN 558: Implementing Dynamic Reconfiguration in Arria II GX Devices AN 609: Implementing Dynamic Reconfiguration in Cyclone IV GX Devices Table 3 and Table 4 list the example configurations and clocking schemes in various implementation scenarios that require auto-rate negotiation for Stratix IV, HardCopy IV, Arria II GX, and Cyclone IV devices. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

14 Page 14 Design Considerations for Auto-Rate Negotiation Table 3. Auto-Rate Negotiation Implementation Scenarios using Stratix IV, HardCopy IV, and Arria II GX Devices (Part 1 of 2) Scenarios Channel Utilization Reconfiguration Option Details Dedicated CMU PLL per channel Shared CMU PLL (without PLL PFD feedback path support) Up to two independent 1 duplex channels in a transceiver block, with each channel using a dedicated CMU PLL Up to four independent 1 duplex channels in a transceiver block, with the channels sharing one or two CMUs Channel and CMU PLL reconfiguration Channel and CMU PLL reconfiguration Perform negotiation to the desired data rate by reconfiguring the specific receiver channel and CMU PLL (affecting the transmitter only). Supports PLL PFD feedback for each transmitter channel. Ensure that the input reference clock frequency is the same as the clock from the tx_clkout port at each reconfigured data rate. Perform negotiation to the desired data rate by reconfiguring the specific receiver channel. Data rate division in TX Perform negotiation to related data rates (in multiples of /1, /2 or /4 of each other) by reconfiguring the TX local clock divider of the specific transmitter channel. For example: From Mbps to Mbps, or Mbps From 6144 Mbps to 3072 Mbps Enable the channels to share the same CMU PLL and perform negotiation independently without affecting each other while listening to the same CMU PLL. Channel reconfiguration with TX PLL select Perform negotiation to the unrelated data rates (not in multiples of /1, /2, or /4 of each other) by reconfiguring the specific transmitter channel to select clocks from another CMU PLL. For example: From 6144 Mbps to Mbps From Mbps to 3072 Mbps Uses two CMUs one with the initial line rate clock settings and the other with the negotiated lower line rate clock settings. Reconfiguration at the specific transmitter channel does not affect the other channels that listen to either of the CMU PLLs. Use with Data rate division in TX reconfiguration option for greater negotiation flexibility. For example: From 6144 Mbps to Mbps, then to 3072 Mbps From Mbps to 3072 Mbps, then to Mbps AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

15 Design Considerations for Auto-Rate Negotiation Page 15 Table 3. Auto-Rate Negotiation Implementation Scenarios using Stratix IV, HardCopy IV, and Arria II GX Devices (Part 2 of 2) Scenarios Channel Utilization Reconfiguration Option Details Shared CMU PLL (with PLL PFD feedback support) Four duplex channels bundled in ( 4) bonded mode, with all channels sharing CMU0PLL Channel and CMU PLL reconfiguration Implement over-sampling in your user logic Perform negotiation to the desired line rate by reconfiguring the specific receiver channel. Do not implement over-sampling logic on the receiver datapath. Implement variable over-sampling (sending the same bit multiple times) in the user logic at each transmitter path with the CMU0 PLL clock settings at the highest data rate intended for the device. Implement 8B/10B encoding in the user logic selects 10/20/40 bits channel width to bypass the 8B/10B encoder in transceiver. Perform negotiation to the data rates that are multiples of each other (/2, /4, or even /8) by enabling the appropriate over-sampling path in the user logic. Negotiation at a specific transmitter channel does not affect other channels in the bundle. Supports the PLL PFD feedback path for each transmitter, compensating transmitter uncertainty in the four bonded channels at the same time to the CMU0 PLL. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

16 Page 16 Design Considerations for Auto-Rate Negotiation Table 4. Auto-Rate Negotiation Implementation Scenarios using Cyclone IV Devices Scenarios Dedicated PLLs per channel Shared PLL (with the PLL PFD feedback support) Channel Utilization Up to two independent 1 duplex channels in a transceiver block, using two PLLs for each channel (one PLL for transmitter and another for receiver) with PLL PFD feedback, and one PLL for each channel without PLL PFD feedback (transmitter and receiver share the same PLL) Four duplex channels bundled in ( 4) bonded mode, with all the transmitter channels sharing one PLL and receiver channels listening to another PLL Reconfiguration Option Details PLL reconfiguration Perform negotiation to the desired data rate by reconfiguring the specific PLL that clocks the target transmitter or receiver channel, or both, when transmitter and receiver listens to the same PLL. Supports PLL PFD feedback for each transmitter channel. RX local divider reconfiguration Implement over-sampling in your user logic Perform negotiation to data rates that are in multiples of /2 of each other by reconfiguring the RX local divider at the specific receiver channel. For example: From Mbps to Mbps Reconfiguration at the specific receiver channel does not affect the other receiver channels in the bundle. Do not implement over-sampling logic on the receiver datapath. Implement variable over-sampling (sending the same bit multiple times) in the user logic at each transmitter path with the PLL clock settings at the highest line rate intended for the device. Implement 8B/10B encoding in the user logic selects 10/20 bits channel width to bypass the 8B/10B encoder in the transceiver. Perform negotiation to the line rates that are in multiples of /2 of each other by enabling the appropriate over-sampling path in the user logic. Negotiation at a specific transmitter does not affect the other channels in the bundle. Supports the PLL PFD feedback path for each transmitter, compensating transmitter uncertainty in the four bonded channels at the same time to the PLL. AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 Altera Corporation

17 Summary Page 17 Summary In this application note, transceiver configuration and clocking schemes to implement deterministic latency for CPRI and OBSAI RP3-01 protocols with transceivers in Stratix IV, HardCopy IV, Arria II GX and Cyclone IV devices are discussed. You can create your proprietary CPRI, OBSAI RP3-01, or other interfaces requiring deterministic latency designs based on the described implementations. Document Revision History Table 5 lists the revision history for this application note. Table 5. Document Revision History Date Revision Changes Made July Initial release. July 2010 Altera Corporation AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

18 Document Revision History 101 Innovation Drive San Jose, CA Technical Support Copyright 2010 Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1

Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 Arria II GX FPGA Development Kit HSMC Loopback Tests Rev 0.1 High Speed Design Team, San Diego Thursday, July 23, 2009 1 2009 Altera Corporation. All rights reserved. Altera, The Programmable Solutions

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

2. Arria GX Architecture

2. Arria GX Architecture 2. Arria GX Architecture AGX51002-2.0 Transceivers Arria GX devices incorporate up to 12 high-speed serial transceiver channels that build on the success of the Stratix II GX device family. Arria GX transceivers

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

Low Latency 40G Ethernet Example Design User Guide

Low Latency 40G Ethernet Example Design User Guide Low Latency 40G Ethernet Example Design User Guide Subscribe UG-20025 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents Quick Start Guide...1-1 Directory Structure... 1-2 Design Components...

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

Nios II Embedded Design Suite 6.1 Release Notes

Nios II Embedded Design Suite 6.1 Release Notes December 2006, Version 6.1 Release Notes This document lists the release notes for the Nios II Embedded Design Suite (EDS) version 6.1. Table of Contents: New Features & Enhancements...2 Device & Host

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.1 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.1. Errata are functional defects

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Section I. Arria GX Device Data Sheet

Section I. Arria GX Device Data Sheet Section I. Arria GX Device Data Sheet This section provides designers with the data sheet specifications for Arria GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

Building Gigabit Interfaces in Altera Transceiver Devices

Building Gigabit Interfaces in Altera Transceiver Devices Building Gigabit Interfaces in Altera Transceiver Devices Course Description In this course, you will learn how you can build high-speed, gigabit interfaces using the 28- nm embedded transceivers found

More information

Transceiver Architecture in Arria V Devices

Transceiver Architecture in Arria V Devices 1 AV53001 Subscribe Describes the Arria V transceiver architecture, channels, and transmitter and receiver channel datapaths. Altera 28-nm Arria V FPGAs provide integrated transceivers with the lowest

More information

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design

POS-PHY Level 4 POS-PHY Level 3 Bridge Reference Design Level 4 Bridge Reference Design October 2001; ver. 1.02 Application Note 180 General Description This application note describes how the Level 4 Bridge reference design can be used to bridge packet or

More information

Design Guidelines for Intel FPGA DisplayPort Interface

Design Guidelines for Intel FPGA DisplayPort Interface 2018-01-22 Design Guidelines for Intel FPGA DisplayPort Interface AN-745 Subscribe The design guidelines help you implement the Intel FPGA DisplayPort IP core using Intel FPGA devices. These guidelines

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

FFT MegaCore Function User Guide

FFT MegaCore Function User Guide FFT MegaCore Function User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com MegaCore Version: 11.0 Document Date: May 2011 Copyright 2011 Altera Corporation. All rights reserved. Altera, The

More information

Debugging Transceiver Links

Debugging Transceiver Links Debugging s 11 QII53029 Subscribe This chapter describes using the Transceiver Toolkit to optimize high-speed serial links in your board design. The Transceiver Toolkit provides real-time control, monitoring,

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet

OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet OTU2 I.9 FEC IP Core (IP-OTU2EFECI9) Data Sheet Revision 0.02 Release Date 2015-02-11 Document number . All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and ARRIA words

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

Using the Serial FlashLoader With the Quartus II Software

Using the Serial FlashLoader With the Quartus II Software Using the Serial FlashLoader With the Quartus II Software July 2006, ver. 3.0 Application Note 370 Introduction Using the Joint Test Action Group () interface, the Altera Serial FlashLoader (SFL) is the

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

RapidIO MegaCore Function

RapidIO MegaCore Function March 2007, MegaCore Function Version 3.1.0 Errata Sheet This document addresses known errata and documentation issues for the Altera RapidIO MegaCore function version 3.1.0. Errata are functional defects

More information

Using DCFIFO for Data Transfer between Asynchronous Clock Domains

Using DCFIFO for Data Transfer between Asynchronous Clock Domains Using DCFIFO for Data Transfer between Asynchronous Clock Domains, version 1.0 Application Note 473 Introduction In the design world, there are very few designs with a single clock domain. With increasingly

More information

Low Latency 100G Ethernet Design Example User Guide

Low Latency 100G Ethernet Design Example User Guide Low Latency 100G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 16.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start Guide...

More information

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices

AN 608: HST Jitter and BER Estimator Tool for Stratix IV GX and GT Devices AN 608: HST Jitter and BER Estimator Tool or Stratix IV GX and GT Devices July 2010 AN-608-1.0 The high-speed communication link design toolkit (HST) jitter and bit error rate (BER) estimator tool is a

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide

Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Intel Stratix 10 Low Latency 40G Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Quick Start Guide...

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide

Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Intel Stratix 10 H-tile Hard IP for Ethernet Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

EFEC20 IP Core. Features

EFEC20 IP Core. Features EFEC20 IP Core DS-1034-1.2 Data Sheet The Altera 20% Enhanced Forward Error Correction (EFEC20) IP core includes a highperformance encoder and decoder for Optical Transport Network (OTN) FEC applications.

More information

Designing RGMII Interface with FPGA and HardCopy Devices

Designing RGMII Interface with FPGA and HardCopy Devices Designing RGMII Interface with FPGA and HardCopy Devices November 2007, ver. 1.0 Application Note 477 Introduction The Reduced Gigabit Media Independent Interface (RGMII) is an alternative to the IEEE

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

Intel Stratix 10 Transceiver Usage

Intel Stratix 10 Transceiver Usage Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Transceiver Layout... 3 1.1 L-Tile and H-Tile Overview...4 1.1.1 PLLs...4

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

On-Chip Memory Implementations

On-Chip Memory Implementations On-Chip Memory Implementations Using Cyclone Memory Blocks March 2003, ver. 1.1 Application Note 252 Introduction Cyclone devices feature embedded memory blocks that can be easily configured to support

More information

White Paper Understanding 40-nm FPGA Solutions for SATA/SAS

White Paper Understanding 40-nm FPGA Solutions for SATA/SAS White Paper Understanding 40-nm Solutions for /SAS This white paper describes the and SAS protocols, how the protocols are used, explains the value and SAS in terms of usage in an, and illustrates how

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Table 1 shows the issues that affect the FIR Compiler v7.1.

Table 1 shows the issues that affect the FIR Compiler v7.1. May 2007, Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the Altera, v7.1. Errata are functional defects or errors, which may cause an Altera MegaCore function

More information

E3 Mapper MegaCore Function (E3MAP)

E3 Mapper MegaCore Function (E3MAP) MegaCore Function (E3MAP) March 9, 2001; ver. 1.0 Data Sheet Features Easy-to-use MegaWizard Plug-In generates MegaCore variants Quartus TM II software and OpenCore TM feature allow place-androute, and

More information

Cyclone II FPGA Family

Cyclone II FPGA Family ES-030405-1.3 Errata Sheet Introduction This errata sheet provides updated information on Cyclone II devices. This document addresses known device issues and includes methods to work around the issues.

More information

Altera JESD204B IP Core and ADI AD9680 Hardware Checkout Report

Altera JESD204B IP Core and ADI AD9680 Hardware Checkout Report 2015.05.11 Altera JESD204B IP Core and ADI AD9680 Hardware Checkout Report AN-710 Subscribe The Altera JESD204B IP core is a high-speed point-to-point serial interface intellectual property (IP). The JESD204B

More information

Errata Sheet for Stratix IV GX Devices

Errata Sheet for Stratix IV GX Devices Errata Sheet for Stratix IV GX Devices ES-01022-6.1 Errata Sheet This errata sheet provides updated information about known device issues affecting Stratix IV GX devices. Production Device Issues for Stratix

More information

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide H-tile Hard IP for Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Nios II Embedded Design Suite 7.1 Release Notes

Nios II Embedded Design Suite 7.1 Release Notes Nios II Embedded Design Suite 7.1 Release Notes May 2007, Version 7.1 Release Notes This document contains release notes for the Nios II Embedded Design Suite (EDS) version 7.1. Table of Contents: New

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel FPGA Triple-Speed Ethernet and On-Board

More information

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide 25G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. 25G

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide

Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Low Latency 100G Ethernet Intel Stratix 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Intel Cyclone 10 GX Transceiver PHY User Guide

Intel Cyclone 10 GX Transceiver PHY User Guide Intel Cyclone 10 GX Transceiver PHY User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Cyclone 10 GX Transceiver PHY

More information

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim

Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Practical Hardware Debugging: Quick Notes On How to Simulate Altera s Nios II Multiprocessor Systems Using Mentor Graphics ModelSim Ray Duran Staff Design Specialist FAE, Altera Corporation 408-544-7937

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 7.2 Document Version: 3.3 Document Date: November 2007 Copyright 2007

More information

POS-PHY Level 4 MegaCore Function (POSPHY4)

POS-PHY Level 4 MegaCore Function (POSPHY4) POS-PHY Level 4 MegaCore Function (POSPHY4) August 2001; ver. 1.00 Data Sheet Introduction Optimized for the Altera APEX TM II device architecture, the POS-PHY level 4 MegaCore function (POSPHY4) interfaces

More information

Interlaken IP Core (2nd Generation) Design Example User Guide

Interlaken IP Core (2nd Generation) Design Example User Guide Interlaken IP Core (2nd Generation) Design Example User Guide UG-20051 2017.09.19 Subscribe Send Feedback Contents Contents 1 Quick Start Guide... 3 1.1 Directory Structure... 4 1.2 Design Components...

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

Using the Nios Development Board Configuration Controller Reference Designs

Using the Nios Development Board Configuration Controller Reference Designs Using the Nios Development Board Controller Reference Designs July 2006 - ver 1.1 Application Note 346 Introduction Many modern embedded systems utilize flash memory to store processor configuration information

More information

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide

LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction User Guide LVDS SERDES Transmitter / Receiver (ALTLVDS_TX and ALTLVDS_RX) Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-MF9504-9.1 Document last updated for Altera Complete Design Suite version:

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices SerialLite III Streaming IP Core Design Example User Guide for Intel Stratix 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Stratix 10 ES Editions Subscribe Send Feedback Latest document

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace

White Paper Performing Equivalent Timing Analysis Between Altera Classic Timing Analyzer and Xilinx Trace Introduction White Paper Between Altera Classic Timing Analyzer and Xilinx Trace Most hardware designers who are qualifying FPGA performance normally run bake-off -style software benchmark comparisons

More information

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide

Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Intel Stratix 10 L- and H-Tile Transceiver PHY User Guide Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Overview... 7 1.1. L-Tile/H-Tile Layout in Intel Stratix 10 Device

More information

RapidIO Physical Layer MegaCore Function

RapidIO Physical Layer MegaCore Function RapidIO Physical Layer MegaCore Function April 2005, MegaCore version 2.2.1 Errata Sheet Introduction This document addresses known errata and documentation changes for version 2.2.1 of the RapidIO Physical

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design

AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Design AN 830: Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel FPGA Triple-Speed Ethernet and On-Board PHY Chip Reference

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

Section I. Stratix II GX Device Data Sheet

Section I. Stratix II GX Device Data Sheet Section I. Stratix II GX Device Data Sheet This section provides designers with the data sheet specifications for Stratix II GX devices. They contain feature definitions of the transceivers, internal architecture,

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization

A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization DesignCon 2008 A Reset Control Apparatus for PLL Power-Up Sequence and Auto-Synchronization Kazi Asaduzzaman, Altera Corporation Tim Hoang, Altera Corporation Kang-Wei Lai, Altera Corporation Wanli Chang,

More information

White Paper Low-Cost FPGA Solution for PCI Express Implementation

White Paper Low-Cost FPGA Solution for PCI Express Implementation White Paper Introduction PCI Express is rapidly establishing itself as the successor to PCI, providing higher performance, increased flexibility, and scalability for next-generation systems, as well as

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information