BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners

Size: px
Start display at page:

Download "BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners"

Transcription

1 BUS TIMING ANALYSIS George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners

2 LEARNING OBJECTIVES identify CPU and memory timing parameters draw a bus timing diagram for a simplex CPU-memory interface identify the critical read and write cycle paths on a bus timing diagram define setup and hold times as they pertain to a CPU-memory interface and discuss the consequences of violating them calculate read and write timing margins for a given CPU-memory combination describe the potential consequences of excessive read float delay define timing margin and discuss the consequences of an insufficient margin

3 OUTLINE Definitions of typical bus signals CPU-Memory interface circuit Timing parameters Synchronous read cycle timing chart Synchronous write cycle timing chart Critical path assessment Timing margin Example application

4 DEFINITIONS OF TYPICAL BUS SIGNALS BUS CLOCK (CLK) used to enable memory and I/O accesses (in conjunction with the R/W signal) in synchronous bus systems, one cycle of this clock usually corresponds to one unit of bus activity (e.g., a CPU read of a specific memory location or a CPU write to a specific I/O port)

5 DEFINITIONS OF TYPICAL BUS SIGNALS ADDRESS BUS outputs memory or I/O location address during valid memory reference cycles high impedance state if processor relinquishes bus to a secondary bus master (like a DMA controller) DATA BUS inputs data from memory or I/O location on read cycles, outputs data on write cycles high impedance state if processor relinquishes bus to a secondary bus master

6 DEFINITIONS OF TYPICAL BUS SIGNALS Read/Write (R/W ) indicates direction of data transfer on data bus (input for read, output for write ) high impedance if processor relinquishes bus to a secondary bus master memory and I/O control signals OE (output enable) and WE (write enable) are usually provided directly by CPU

7 DEFINITIONS OF TYPICAL BUS SIGNALS READY (RDY) in synchronous bus systems, asserted by the memory (or I/O device) being accessed to indicate the bus transaction requested by the CPU may be completed on the current bus cycle if negated, the CPU inserts wait states allows the CPU to accommodate slower memory or I/O devices

8 HIGH-LEVEL PICTURE OF EXTERNAL MEMORY Location in memory. Effective address Address Bus (Abus) Data Bus (Dbus) M-bits / N-bits / Upper-most bits / Address Decode PLD Is the chip turned on? 0=on, 1=off Chip Enable (CE) Address (in) Data (in/out) CPU Bits for the actual value Is the processor reading (load) or writing (store) Should memory output on data bus? 0=yes, 1=no External Memory Chip R/W Output Enable (OE) CLK Write Enable (WE) Bus Clock: Comes from CPU, tells memory when to do stuff. One cycle=time to do one read or write Should memory write bits on data bus into itself? 0=yes, 1=no

9 CPU TIMING PARAMETERS Common to READ and WRITE cycles t CY (CPU bus cycle time) t AD (CPU address generation delay) t AH (CPU address hold time) READ cycle t RS (CPU read data setup time) t RH (CPU read data hold time) WRITE cycle t DD (CPU write data generation delay) t WH (CPU write data hold time) t WZ (CPU write data float delay, after t WH )

10 MEMORY TIMING PARAMETERS READ Cycle t AA (memory address access time) t CE (memory chip enable access time) t OE (memory output enable access time) t OH (memory output hold time) t OZ (memory output data float delay, after t OH ) WRITE cycle t IS (memory input data setup time) t IH (memory input data hold time) t AW (memory address to write time) t CW (memory chip enable to write time) t WP (memory write pulse width) [2.A]-10

11 SUCCESSIVE SYNCHRONOUS READ CYCLES Successive = one read following another (i.e. no write cycles) Synchronous = one unit of bus activity per clock cycle Read = CPU loads (inputs) data Clock drives everything: CPU reads data on falling edge of clock

12 SUCCESSIVE SYNCHRONOUS READ CYCLES After falling edge of clock, CPU thinks, then initiates read Cross-hatch indicates signal is changing, and that its state is not guaranteed CPU driving stable R/W =1 shaded in green

13 SUCCESSIVE SYNCHRONOUS READ CYCLES R/W CLK OE is telling the memory when to drive the data bus This signal goes low when R/W =1 and CLK=1

14 SUCCESSIVE SYNCHRONOUS READ CYCLES CPU Drives the ADDR lines: they become valid at the same time R/W does

15 SUCCESSIVE SYNCHRONOUS READ CYCLES CE depends on ADDR: once ADDR stabilizes, CE stabilizes Blip (to one) indicates potential for CE to temporarily be invalid (could also just be shown as a cross-hatch ) Uppermost bits / Address Decode PLD ADDR

16 SUCCESSIVE SYNCHRONOUS READ CYCLES For the memory chip to supply data to the CPU on the read cycle, several conditions need to be met OE asserted Valid/stable address CE asserted Be told to output Have a valid address Turned on After taking some time to think about it, the memory chip turns on its tri-state buffers and places the data addressed on the data bus

17 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus CPU is completely driven by the clock Captures what is on the data bus on the falling edge

18 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus R/W takes Address Delay time from the falling edge of the clock to become valid R/W is held for Address Hold time after end of cycle (negation of CLK)

19 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus For CPU to read properly, data bus must satisfy setup and hold times (i.e. data can not change during the red window

20 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus For CPU to read properly, data bus must satisfy setup and hold times (i.e. data can not change during the red window Negating OE tells the memory to stop outputting (turns off tri-state buffers

21 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus Recall: Read/Write (R/W) timing parameters are associated with the CPU Input/Output (I/O) timing parameters are associated with the memory The memory keeps outputting valid data for the output hold time Output float delay is the time it takes the memory to get off (disconnect from) the data bus Negating OE tells the memory to stop outputting (turns off tri-state buffers

22 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus The data absolutely, positively has to be ready by the Fed-Ex line

23 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus These yellow segments represent the maximum (propagation delay) values the memory can have and still meet timing requirements they represent the read cycle critical paths

24 SUCCESSIVE SYNCHRONOUS READ CYCLES Where CPU reads data on the bus These yellow segments represent the maximum (propagation delay) values the memory can have and still meet timing requirements they represent the read cycle critical paths If memory is faster than nominally required (relative to the Fed-Ex line) there is a read timing margin

25 COLLABORATIVE CLICKER QUIZ (CCQ) Question 1 The Green (Fed-Ex) Line for a READ cycle refers to the instant that the data absolutely, positively has to be there (within nanoseconds) for the memory read operation to be successful. The Fed-Ex Line is determined by: A. the read setup time prior to the end of the bus cycle B. the bus cycle minus the address generation delay C. the bus cycle minus the data generation delay D. the read hold time prior to the end of the bus cycle E. none of the above

26 COLLABORATIVE CLICKER QUIZ (CCQ) Question 2 If the value on the data bus changes before the Green (Fed-Ex) Line : A. bus fighting might occur B. the wrong value might be read by the processor C. data might be read from the wrong address D. the memory chip might be damaged E. none of the above

27 COLLABORATIVE CLICKER QUIZ (CCQ) Question 3 If the value on the data bus changes t RS after the Green (Fed-Ex) Line : A. bus fighting might occur B. the wrong value might be read by the processor C. data might be read from the wrong address D. the memory chip might be damaged E. none of the above

28 COLLABORATIVE CLICKER QUIZ (CCQ) Question 4 If the value on the data bus changes t RS + t RH after the Green (Fed-Ex) Line : A. bus fighting might occur B. the wrong value might be read by the processor C. data might be read from the wrong address D. the memory chip might be damaged E. none of the above

29 COLLABORATIVE CLICKER QUIZ (CCQ) Question 5 The following parameter has no influence on the read timing margin available: A. t AA B. t OE C. t OH D. t CE E. none of the above

30 SUCCESSIVE SYNCHRONOUS WRITE CYCLES Successive = one write following another (i.e. no read cycles) Synchronous = one unit of bus activity per clock cycle Write = CPU stores (outputs) data

31 SUCCESSIVE SYNCHRONOUS WRITE CYCLES After falling edge of clock, CPU thinks, then initiates write Cross-hatch indicates signal is changing, and that its state is not guaranteed CPU driving stable R/W =0 shaded in green

32 SUCCESSIVE SYNCHRONOUS WRITE CYCLES WE is telling the memory to open its row of latches that correspond to the memory location addressed This signal goes low when R/W =0 and CLK=1 R/W CLK

33 SUCCESSIVE SYNCHRONOUS WRITE CYCLES WE is telling the memory to open its row of latches that correspond to the memory location addressed This signal goes low when R/W =0 and CLK=1 R/W CLK CPU Drives the ADDR lines: they become valid at the same time R/W does

34 SUCCESSIVE SYNCHRONOUS WRITE CYCLES CE depends on ADDR: once ADDR stabilizes, CE stabilizes Blip (to one) indicates potential for CE to temporarily be invalid (could also just be shown as a cross-hatch ) Uppermost bits / Address Decode PLD

35 SUCCESSIVE SYNCHRONOUS WRITE CYCLES For the memory chip to accept data from the CPU on the write cycle, several conditions need to be met WE asserted Valid/stable address CE asserted Be told to input Have a valid address Turned on

36 SUCCESSIVE SYNCHRONOUS WRITE CYCLES Latches in memory device open when WE is asserted Latches close (retain data) when WE is negated

37 SRAM FLASHBACK Conceptually, just a D-Latch where latch is open when SEL/WR are asserted

38 SUCCESSIVE SYNCHRONOUS WRITE CYCLES R/W takes Address Delay time from the falling edge of the clock to become valid R/W is held for Address Hold time after end of cycle (negation of CLK)

39 SUCCESSIVE SYNCHRONOUS WRITE CYCLES The CPU delays (by t DD ) driving the (write) data onto the bus, to provide a cushion for the previous cycle to complete this helps reduce the chance that bus fighting will occur

40 SUCCESSIVE SYNCHRONOUS WRITE CYCLES Recall: Read/Write (R/W) timing parameters are associated with the CPU Input/Output (I/O) timing parameters are associated with the memory The latches in memory also have a red window (setup and hold time) during which its inputs (supplied via the data bus) must remain stable

41 SUCCESSIVE SYNCHRONOUS WRITE CYCLES Recall: Read/Write (R/W) timing parameters are associated with the CPU Input/Output (I/O) timing parameters are associated with the memory The CPU keeps outputting valid data for the write hold time Write float delay is the time it takes the CPU to get off (disconnect from) the data bus

42 SUCCESSIVE SYNCHRONOUS WRITE CYCLES These yellow segments represent the write cycle critical paths minimum write pule width valid address prior to write valid chip enable prior to write If CPU provides data earlier than nominally required (relative to the Fed-Ex line) there is a write timing margin

43 COLLABORATIVE CLICKER QUIZ (CCQ) Question 1 The Green (Fed-Ex) Line for a WRITE cycle refers to the instant that the data absolutely, positively has to be there (within nanoseconds) for the memory write operation to be successful, which is: A. the input setup time prior to the end of the bus cycle B. the input setup time prior to the negation of write enable C. the input hold time following the negation of write enable D. the write pulse width E. none of the above

44 COLLABORATIVE CLICKER QUIZ (CCQ) Question 2 If the data supplied to memory changes t IS before the negation of write enable: A. bus fighting might occur B. the wrong value might be written to memory C. data might be written to the wrong address D. all of the above E. none of the above

45 COLLABORATIVE CLICKER QUIZ (CCQ) Question 3 If the data supplied to memory changes less than t IS before the negation of write enable: A. bus fighting might occur B. the wrong value might be written to memory C. data might be written to the wrong address D. all of the above E. none of the above

46 COLLABORATIVE CLICKER QUIZ (CCQ) Question 4 If the data supplied to memory changes less than t IH after the negation of write enable: A. bus fighting might occur B. the wrong value might be written to memory C. data might be written to the wrong address D. all of the above E. none of the above

47 COLLABORATIVE CLICKER QUIZ (CCQ) Question 5 If the data supplied to memory changes greater than t IH after the negation of write enable: A. bus fighting might occur B. the wrong value might be written to memory C. data might be written to the wrong address D. all of the above E. none of the above

48 AN EXAMPLE: CPU TIMING SPECS Used on both Read and Write Read Specific Write Specific Description Parameter Value Bus clock period t CY 200 ns Address generation delay t AD 30 ns Address hold time t AH 20 ns Read setup time t RS 30 ns Read hold time t RH 20 ns Write data generation delay t DD 80 ns Write hold time t WH 30 ns Write float delay (after t WH ) t WZ 10 ns Assume all glue logic delays are 10 ns

49 SRAM GLUE LOGIC For this example, assume all glue logic delays are 10 Address Bus (Abus) Data Bus (Dbus) CPU M-bits / N-bits / Upper-most bits / Glue Logic Address Decode PLD Propagation delay on ADDR to CE Propagation delays on R/W and CLK changing OE and WE Chip Enable (CE) Address (in) Data (in/out) External Memory Chip R/W CLK Output Enable (OE) Write Enable (WE)

50 SUCCESSIVE SYNC READ CYCLES W/ NUMBERS Parameter t CY t AD t AH t RS t RH t DD t WH t WZ Value 200 ns 30 ns 20 ns 30 ns 20 ns 80 ns 30 ns 10 ns

51 SUCCESSIVE SYNC READ CYCLES W/ NUMBERS Parameter t CY t AD t AH t RS t RH t DD t WH t WZ Value 200 ns 30 ns 20 ns 30 ns 20 ns 80 ns 30 ns 10 ns

52 SUCCESSIVE SYNC READ CYCLES W/ NUMBERS Parameter t CY t AD t AH t RS t RH t DD t WH t WZ Value 200 ns 30 ns 20 ns 30 ns 20 ns 80 ns 30 ns 10 ns

53 SUCCESSIVE SYNC READ CYCLES W/ NUMBERS Parameter t CY t AD t AH t RS t RH t DD t WH t WZ Value 200 ns 30 ns 20 ns 30 ns 20 ns 80 ns 30 ns 10 ns

54 SUCCESSIVE SYNC WRITE CYCLES W/ NUMBERS Parameter t CY t AD t AH t RS t RH t DD t WH t WZ Value 200 ns 30 ns 20 ns 30 ns 20 ns 80 ns 30 ns 10 ns

55 MATCHING OUR EXAMPLE CPU TO AN EXAMPLE SRAM Read Specific Write Specific Description Parameter Value Address access time t AA 80 ns min Chip enable access time t CE 80 ns min Output enable access time t OE 20 ns min Output hold from OE /CE negation or address change t OH 10 ns min Output float delay following t OH t OZ 10 ns max Input (write) data setup time t IS 30 ns min Input (write) data hold time t IH 20 ns min Write pulse width t WP 40 ns min Address valid prior to memory write t AW 90 ns min Chip enable valid prior to memory write t CW 80 ns min

56 SUCCESSIVE SYNC READ CYCLES W/ NUMBERS latest of these determines critical path, and the amount of read timing margin available Parameter t AA t CE t OE t OH t OZ t IS t IH t WP t AW t CW Value 80 ns min 80 ns min 20 ns min 10 ns min 10 ns max 30 ns min 20 ns min 40 ns min 90 ns min 80 ns min

57 SUCCESSIVE SYNC WRITE CYCLES W/ NUMBERS Parameter t AA t CE t OE t OH t OZ t IS t IH t WP t AW t CW Value 80 ns min 80 ns min 20 ns min 10 ns min 10 ns max 30 ns min 20 ns min 40 ns min 90 ns min 80 ns min

58 CRITICAL PATH ASSESSMENT Typically three (primary) critical read paths to consider: t AA t CE t OE Why are the write paths typically not critical? The read paths are round trip whereas write paths are one way

59 TIMING MARGIN Definition: The difference between the nominal memory performance required and performance of actual memory component chosen Why a margin is needed: To accommodate normal variations that occur in device performance due to operating temperature, lot variations, etc. What is a safe margin? Usually about 10% of the parameter in question What is the consequence of insufficient margin? Unstable performance!

60 EXAMPLE DESIGN RESULTS - SUMMARY This example illustrates the use of a 5 MHz (200 ns bus clock) CPU in conjunction with an 80 ns (t AA and t CE ) SRAM If a CPU (as specified) is interfaced to an SRAM (as specified), and the glue logic delay is 10 ns, then the following timing margins will be realized: read timing margin: 40 ns write timing margin: 100 ns

61 COLLABORATIVE CLICKER QUIZ (CCQ) Question 1 If the nominal t CE for a CPU-memory interface is 50 ns, the speed of SRAM that should be utilized in order to provide a 10% read timing margin is: A. 45 ns B. 50 ns C. 55 ns D. 60 ns E. none of the above

62 COLLABORATIVE CLICKER QUIZ (CCQ) Question 2 A possible consequence of insufficient timing margin is: A. sensitivity to relative humidity B. sensitivity to operating temperature C. sensitivity to switching noise D. all of the above E. none of the above

63 COLLABORATIVE CLICKER QUIZ (CCQ) Question 3 If the SRAM read float delay exceeds the processor s write data generation delay: A. bus fighting might occur B. the wrong value might be read by the processor C. metastability might occur D. all of the above E. none of the above Hint: Consider a read cycle followed by a write cycle

64 HOMEWORK PRACTICE CPU t CY t AD t AH t RS t RH t DD t WH t WZ Value 100 ns 30 ns 10 ns 20 ns 10 ns 40 ns 20 ns 10 ns Draw read cycle followed by write cycle SRAM t AA t CE t OE t OH t OZ t IS t IH t WP t AW t CW Value 20 ns min 20 ns min 10 ns min 0 ns min 30 ns max 20 ns min 10 ns min 10 ns min 20 ns min 20 ns min

Wed. Sept 20 Announcements

Wed. Sept 20 Announcements Wed. Sept 20 Announcements HW/Lab 5 Posted Lab 5 is done in pairs HW is probably more intensive than the lab. Module 1 Clicker Quiz Friday All module 1 fair game. Focus on stuff not yet quizzed on. [2.A]-1

More information

Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER.

Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER. ECE 362 Midterm Lab Practical - 1 - Practice Exam / Solution PART 1: Multiple Choice Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER. (Solution

More information

Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math

Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math We need a bigger scratch pad Must interface to external memory module! The HCS12 Solution

More information

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview

CPE/EE 421/521 Fall 2004 Chapter 4 The CPU Hardware Model. Dr. Rhonda Kay Gaede UAH. The CPU Hardware Model - Overview CPE/EE 421/521 Fall 2004 Chapter 4 The 68000 CPU Hardware Model Dr. Rhonda Kay Gaede UAH Fall 2004 1 The 68000 CPU Hardware Model - Overview 68000 interface Timing diagram Minimal configuration using the

More information

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations

1-megabit (64K x 16) 5-volt Only Flash Memory AT49F1024A Features Description Pin Configurations BDTIC www.bdtic.com/atmel Features Single-voltage Operation 5V Read 5V Reprogramming Fast Read Access Time 45 ns Internal Program Control and Timer 8K Word Boot Block with Lockout Fast Erase Cycle Time

More information

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode Methods used for A/D converters Flash (Parallel) Successive Approximation Review for Exam III Analog/Digital Converters A/D converters are classified according to: Resolution (number of bits) Speed (number

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. 16,384-BIT EPROM WITH I/O! 2048 Words x 8 Bits! Single + 5V Power Supply

More information

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations

4-Megabit (512K x 8) 5-volt Only CMOS Flash Memory AT49F040 AT49F040T AT49F040/040T AT49F040/040T. Features. Description. Pin Configurations Features Single Voltage Operation 5V Read 5V Reprogramming Fast Read Access Time - 70 ns Internal Program Control and Timer 16K bytes Boot Block With Lockout Fast Erase Cycle Time - 10 seconds Byte By

More information

FM16W08 64Kb Wide Voltage Bytewide F-RAM

FM16W08 64Kb Wide Voltage Bytewide F-RAM Pre-Production FM16W08 64Kb Wide Voltage Bytewide F-RAM Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 100 Trillion (10 14 ) Read/Writes 38 year Data Retention

More information

FM1608B 64Kb Bytewide 5V F-RAM Memory

FM1608B 64Kb Bytewide 5V F-RAM Memory Pre-Production FM1608B 64Kb Bytewide 5V F-RAM Memory Features 64Kbit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 38 year Data Retention (@ +75

More information

CPE/EE 421 Microcomputers

CPE/EE 421 Microcomputers CPE/EE 421 Microcomputers THE 68000 CPU HARDWARE MODEL Instructor: Dr Aleksandar Milenkovic Lecture Notes Lecture 19 CPE/EE 421/521 Microcomputers 1 THE 68000 CPU HARDWARE MODEL Chapter 4 68000 interface

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

DS1225Y 64k Nonvolatile SRAM

DS1225Y 64k Nonvolatile SRAM 19-5603; Rev 10/10 NOT RECOMMENDED FOR NEW DESIGNS 64k Nonvolatile SRAM www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during

More information

3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide

3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide 3 Volt Intel StrataFlash Memory to Motorola MC68060 CPU Design Guide Application Note 703 April 2000 Document Number: 292251-002 Information in this document is provided in connection with Intel products.

More information

Note: Closed book no notes or other material allowed, no calculators or other electronic devices.

Note: Closed book no notes or other material allowed, no calculators or other electronic devices. ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Exam Review Note: Closed book no notes or other material allowed, no calculators or other electronic devices. One page

More information

CAT22C Bit Nonvolatile CMOS Static RAM

CAT22C Bit Nonvolatile CMOS Static RAM 256-Bit Nonvolatile CMOS Static RAM FEATURES Single 5V Supply Fast RAM Access Times: 200ns 300ns Infinite E 2 PROM to RAM Recall CMOS and TTL Compatible I/O Power Up/Down Protection 100,000 Program/Erase

More information

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples

OUTLINE. SPI Theory SPI Implementation STM32F0 SPI Resources System Overview Registers SPI Application Initialization Interface Examples SERIAL PERIPHERAL INTERFACE (SPI) George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners OUTLINE SPI Theory SPI Implementation STM32F0 SPI Resources System

More information

Chapter 2: Fundamentals of a microprocessor based system

Chapter 2: Fundamentals of a microprocessor based system Chapter 2: Fundamentals of a microprocessor based system Objectives Learn about the basic structure of microprocessor systems Learn about the memory read/write timing diagrams. Learn about address decoding

More information

DS1345W 3.3V 1024k Nonvolatile SRAM with Battery Monitor

DS1345W 3.3V 1024k Nonvolatile SRAM with Battery Monitor 19-5587; Rev 10/10 www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Power supply monitor resets processor when

More information

Interfacing an Intel386 TM EX Microprocessor to an CAN Controller

Interfacing an Intel386 TM EX Microprocessor to an CAN Controller APPLICATION NOTE Interfacing an Intel386 TM EX Microprocessor to an 82527 CAN Controller GREG SCOTT TECHNICAL MARKETING ENGINEER January 1996 Order Number 272790-001 COPYRIGHT INTEL CORPORATION 1995 1

More information

AT49BV004(T) TSOP Top View Type 1 1. AT49BV4096A(T) TSOP Top View Type 1 A16 BYTE GND I/O7 I/O14 I/O6 I/O13 I/O5 I/O12 I/O4 VCC I/O11 I/O3 I/O10 I/O2

AT49BV004(T) TSOP Top View Type 1 1. AT49BV4096A(T) TSOP Top View Type 1 A16 BYTE GND I/O7 I/O14 I/O6 I/O13 I/O5 I/O12 I/O4 VCC I/O11 I/O3 I/O10 I/O2 Features 2.7V to 3.6V Read/Write Operation Fast Read Access Time - 120 ns Internal Erase/Program Control Sector Architecture One 8K Words (16K bytes) Boot Block with Programming Lockout Two 4K Words (8K

More information

DS1249Y/AB 2048k Nonvolatile SRAM

DS1249Y/AB 2048k Nonvolatile SRAM 19-5631; Rev 11/10 www.maxim-ic.com FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles Low-power CMOS operation

More information

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa

Interconnection Structures. Patrick Happ Raul Queiroz Feitosa Interconnection Structures Patrick Happ Raul Queiroz Feitosa Objective To present key issues that affect interconnection design. Interconnection Structures 2 Outline Introduction Computer Busses Bus Types

More information

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC

DS1238A MicroManager PIN ASSIGNMENT PIN DESCRIPTION V BAT V CCO V CC MicroManager www.dalsemi.com FEATURES Holds microprocessor in check during power transients Halts and restarts an out-of-control microprocessor Warns microprocessor of an impending power failure Converts

More information

FM18L08 256Kb Bytewide FRAM Memory

FM18L08 256Kb Bytewide FRAM Memory 256Kb Bytewide FRAM Memory Features 256K bit Ferroelectric Nonvolatile RAM Organized as 32,768 x 8 bits 45 year Data Retention Unlimited Read/Write Cycles NoDelay Writes Advanced High-Reliability Ferroelectric

More information

DS1265Y/AB 8M Nonvolatile SRAM

DS1265Y/AB 8M Nonvolatile SRAM 19-5616; Rev 11/10 www.maxim-ic.com 8M Nonvolatile SRAM FEATURES 10 years minimum data retention in the absence of external power Data is automatically protected during power loss Unlimited write cycles

More information

S-2812A/2817A. Rev.1.1. CMOS 16K-bit PARALLEL E 2 PROM

S-2812A/2817A. Rev.1.1. CMOS 16K-bit PARALLEL E 2 PROM Rev.1.1 CMOS 16K-bit PARALLEL E 2 PROM The S-2812A and the S-2817A are low power 2K 8-bit parallel E 2 PROMs. The S-2812A features wide operating voltage range, and the S-2817A features 5-V single power

More information

3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide

3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide 3 Volt Intel StrataFlash Memory to i960 H CPU Design Guide Application Note 705 April 2000 Document Number: 292253-002 Information in this document is provided in connection with Intel products. No license,

More information

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004

HSP Histogrammer/Accumulating Buffer. Features. Applications. Ordering Information. Block Diagram FN Data Sheet July 2004 HSP48410 Data Sheet July 2004 FN3185.3 Histogrammer/Accumulating Buffer The Intersil HSP48410 is an 84 lead Histogrammer IC intended for use in image and signal analysis. The on-board memory is configured

More information

P3C1256 HIGH SPEED 32K x 8 3.3V STATIC CMOS RAM

P3C1256 HIGH SPEED 32K x 8 3.3V STATIC CMOS RAM HIGH SPEED 3K x 8 3.3 STATIC CMOS RAM FEATURES 3.3 Power Supply High Speed (Equal Access and Cycle Times) 1///5 (Commercial) //5 (Industrial) Low Power Single 3.3 olts ±.3olts Power Supply Easy Memory

More information

The CPU Bus : Structure 0

The CPU Bus : Structure 0 The CPU Bus : Structure 0 The following can be applied to both the internal CPU buses and the external system buses. This distinction becomes blurred when we discuss Systems on a single Chip (SoC). The

More information

2-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH002. Features. Description. Pin Configurations

2-megabit Firmware Hub and Low-Pin Count Flash Memory AT49LH002. Features. Description. Pin Configurations Features Complies with Intel Low-Pin Count (LPC) Interface Specification Revision 1.1 Supports both Firmware Hub (FWH) and LPC Memory Read and Write Cycles Auto-detection of FWH and LPC Memory Cycles Can

More information

falling edge Intro Computer Organization

falling edge Intro Computer Organization Clocks 1 A clock is a free-running signal with a cycle time. A clock may be either high or low, and alternates between the two states. The length of time the clock is high before changing states is its

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver )

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver ) Topic 3 ARM Cortex M3(i) Memory Management and Access Department of Electronics Academic Year 14/15 (ver 25-10-2014) Index 3.1. Memory maps 3.2. Memory expansion 3.3. Memory management & Data alignment

More information

DS1243Y 64K NV SRAM with Phantom Clock

DS1243Y 64K NV SRAM with Phantom Clock 19-6076; Rev 11/11 DS1243Y 64K NV SRAM with Phantom Clock FEATURES Real-Time Clock Keeps Track of Hundredths of Seconds, Seconds, Minutes, Hours, Days, Date of the Month, Months, and Years 8K x 8 NV SRAM

More information

2K x 16 Dual-Port Static RAM

2K x 16 Dual-Port Static RAM 2K x 16 Dual-Port Static RAM Features True dual-ported memory cells which allow simultaneous reads of the same memory location 2K x 16 organization 0.65-micron CMOS for optimum speed/power High-speed access:

More information

8-megabit Firmware Hub Flash Memory AT49LW080

8-megabit Firmware Hub Flash Memory AT49LW080 Features Low Pin Count (LPC) BIOS Device Functions as Firmware Hub for Intel 8XX, E7XXX and E8XXX Chipsets 8M Bits of Flash Memory for Platform Code/Data Storage Uniform, 64-Kbyte Memory Sectors Automated

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

128K x 36 Synchronous-Pipelined Cache RAM

128K x 36 Synchronous-Pipelined Cache RAM 1CY7C1347 Features Supports 100-MHz bus for Pentium and PowerPC operations with zero wait states Fully registered inputs and outputs for pipelined operation 128K by 36 common I/O architecture 3.3V core

More information

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 3, 2015

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 3, 2015 CS 31: Intro to Systems Digital Logic Kevin Webb Swarthmore College February 3, 2015 Reading Quiz Today Hardware basics Machine memory models Digital signals Logic gates Circuits: Borrow some paper if

More information

FM18L08 256Kb Bytewide 3V FRAM Memory

FM18L08 256Kb Bytewide 3V FRAM Memory Product Preview FM18L08 256Kb Bytewide 3V FRAM Memory Features 256K bit Ferroelectric NonVolatile RAM Organized as 32,768 x 8 bits 10 year Data Retention Unlimited Read/Write Cycles NoDelay Writes Advanced

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

VARAN Client Board VEB 011/012 Versatile Automation Random Access Network

VARAN Client Board VEB 011/012 Versatile Automation Random Access Network VARAN Client Board VEB 011/012 Versatile Automation Random Access Network This client board provides a simple method of connecting any periphery modules to the VARAN bus. 10.08.2011 Page 1 Technical Data

More information

GT25C64 SPI. 64K bits. Serial EEPROM

GT25C64 SPI. 64K bits. Serial EEPROM ADVANCED GT25C64 SPI 64K bits Serial EEPROM www.giantec-semi.com a0 1/20 Table of Content 1 FEATURES... 3 2 DESCRIPTION... 4 3 PIN CONFIGURATION... 5 4 BLOCK DIAGRAM... 6 5 FUNCTIONAL OPERATIONS... 7 6

More information

CAT25C02/04/08/16/32 2K/4K/8K/16K/32K SPI Serial CMOS E 2 PROM FEATURES

CAT25C02/04/08/16/32 2K/4K/8K/16K/32K SPI Serial CMOS E 2 PROM FEATURES K/K/8K/6K/K SPI Serial CMOS E PROM FEATURES 0 MHz SPI Compatible.8 to 6.0 Volt Operation Hardware and Software Protection Zero Standby Current Low Power CMOS Technology SPI Modes (0,0 &,) Commercial, Industrial

More information

SigmaQuad Common I/O Design Guide

SigmaQuad Common I/O Design Guide SigmaQuad ommon I/O Design Guide Introduction The 36Mb and 72Mb SigmaQuad product line has five different product families. These are SigmaQuad ommon I/O (IO) DDR urst of 2 (2), SigmaQuad ommon I/O (IO)

More information

Chapter Operation Pinout Operation 35

Chapter Operation Pinout Operation 35 68000 Operation 35 Chapter 6 68000 Operation 6-1. 68000 Pinout We will do no construction in this chapter; instead, we will take a detailed look at the individual pins of the 68000 and what they do. Fig.

More information

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016

CS 31: Intro to Systems Digital Logic. Kevin Webb Swarthmore College February 2, 2016 CS 31: Intro to Systems Digital Logic Kevin Webb Swarthmore College February 2, 2016 Reading Quiz Today Hardware basics Machine memory models Digital signals Logic gates Circuits: Borrow some paper if

More information

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?! University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Memory Let s Name Some Groups of Bits I need your help. The computer we re going

More information

DS1258Y/AB 128k x 16 Nonvolatile SRAM

DS1258Y/AB 128k x 16 Nonvolatile SRAM www.maxim-ic.com FEATURES 10-Year Minimum Data Retention in the Absence of External Power Data is Automatically Protected During a Power Loss Separate Upper Byte and Lower Byte Chip- Select Inputs Unlimited

More information

DS1217M Nonvolatile Read/Write Cartridge

DS1217M Nonvolatile Read/Write Cartridge DS1217M Nonvolatile Read/Write Cartridge www.maxim-ic.com GENERAL DESCRIPTION The DS1217M is a nonvolatile RAM designed for portable applications requiring a rugged and durable package. The nonvolatile

More information

PI6C20800S. PCI Express 1:8 HCSL Clock Buffer. Features. Description. Pin Configuration. Block Diagram

PI6C20800S. PCI Express 1:8 HCSL Clock Buffer. Features. Description. Pin Configuration. Block Diagram Features Phase jitter filter for PCIe application Eight Pairs of Differential Clocks Low skew < 50ps (PI6C20800S),

More information

DS1846 NV Tri-Potentiometer, Memory, and MicroMonitor

DS1846 NV Tri-Potentiometer, Memory, and MicroMonitor www.maxim-ic.com FEATURES Three linear taper potentiometers Two 10k, 100-position One 100k, 256-position 248 bytes of user EEPROM memory Monitors microprocessor power supply, voltage sense, and external

More information

6.111 Lecture # 8. Topics for Today: (as time permits)

6.111 Lecture # 8. Topics for Today: (as time permits) 6.111 Lecture # 8 Topics for Today: (as time permits) 1. Memories 2. Assembling 'packages' for designs 3. Discussion of design procedure 4. Development of a design example using a finite state machine

More information

COE758 Digital Systems Engineering

COE758 Digital Systems Engineering COE758 Digital Systems Engineering Project #1 Memory Hierarchy: Cache Controller Objectives To learn the functionality of a cache controller and its interaction with blockmemory (SRAM based) and SDRAM-controllers.

More information

Introduction to Computer Design

Introduction to Computer Design Introduction to Computer Design Memory (W 800-840) Basic processor operation Processor organization Executing instructions Processor implementation using VHDL 1 Random Access Memory data_in address read/write

More information

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS

ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL. Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS ECE 574: Modeling and Synthesis of Digital Systems using Verilog and VHDL Fall 2017 Final Exam (6.00 to 8.30pm) Verilog SOLUTIONS Note: Closed book no notes or other material allowed apart from the one

More information

Intel Wireless Flash Memory (W18/W30 SCSP)

Intel Wireless Flash Memory (W18/W30 SCSP) Intel Wireless Flash Memory (W18/W30 SCSP) 32WQ and 64WQ Family with Asynchronous RAM Product Features Device Architecture Flash Density: 32-Mbit, 64-Mbit Async PSRAM Density: 8-, 16-, 32- Mbit; Async

More information

A microprocessor-based system

A microprocessor-based system 7 A microprocessor-based system How simple can a microprocessor-based system actually be? It must obviously contain a microprocessor otherwise it is simply another electronic circuit. A microprocessor

More information

FM24C Kb FRAM Serial Memory Features

FM24C Kb FRAM Serial Memory Features 256Kb FRAM Serial Memory Features 256Kbit Ferroelectric Nonvolatile RAM Organized as 32,768 x 8 bits High Endurance 10 Billion (10 10 ) Read/Writes 45 year Data Retention NoDelay Writes Advanced High-Reliability

More information

Low Power Pseudo SRAM

Low Power Pseudo SRAM Revision History Rev. No. History Issue Date 1.0 1. New Release. 2. Product Process change from 90nm to 65nm 3. The device build in Power Saving mode as below : 3-1. Deep Power Down (DPD) 3-2. Partial

More information

ECE 571 Advanced Microprocessor-Based Design Lecture 3

ECE 571 Advanced Microprocessor-Based Design Lecture 3 ECE 571 Advanced Microprocessor-Based Design Lecture 3 Vince Weaver http://web.eece.maine.edu/~vweaver vincent.weaver@maine.edu 30 January 2018 Homework #1 was posted Announcements 1 Microprocessors Also

More information

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010

1-Megabit (128K x 8) Low Voltage Paged Parallel EEPROMs AT28LV010 BDTIC www.bdtic.com/atmel Features Single 3.3V ± 10% Supply Fast Read Access Time 200 ns Automatic Page Write Operation Internal Address and Data Latches for 128 Bytes Internal Control Timer Fast Write

More information

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features

2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS Features DATASHEET 2:1 MULTIPLEXER CHIP FOR PCI-EXPRESS ICS557-08 Description The ICS557-08 is a 2:1 multiplexer chip that allows the user to select one of the two HCSL (Host Clock Signal Level) input pairs and

More information

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions

Technical Note. Design Considerations when using NOR Flash on PCBs. Introduction and Definitions Technical Note Design Considerations when using NOR Flash on PCBs Introduction and Definitions TN-13-30: NOR Flash Memory: PCB Design Considerations Introduction and Definitions Table 1: Definitions Term

More information

256K x 18 Synchronous 3.3V Cache RAM

256K x 18 Synchronous 3.3V Cache RAM Features Supports 117-MHz microprocessor cache systems with zero wait states 256K by 18 common I/O Fast clock-to-output times 7.5 ns (117-MHz version) Two-bit wrap-around counter supporting either interleaved

More information

6. Latches and Memories

6. Latches and Memories 6 Latches and Memories This chapter . RS Latch The RS Latch, also called Set-Reset Flip Flop (SR FF), transforms a pulse into a continuous state. The RS latch can be made up of two interconnected

More information

16COM / 80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM / 80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION KS0070B is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It is capable of displaying 1 or 2 lines with the 5 7 format or 1 line with the 5 10 dots

More information

FlashFlex MCU SST89C58RC

FlashFlex MCU SST89C58RC Introduction This document provides the instructions to help programming vendors qualify SST FlashFlex microcontrollers. Functional Blocks 051 CPU Core ALU, ACC, B-Register, Instruction Register, Program

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 13 COMPUTER MEMORY So far, have viewed computer memory in a very simple way Two memory areas in our computer: The register file Small number

More information

Introduction read-only memory random access memory

Introduction read-only memory random access memory Memory Interface Introduction Simple or complex, every microprocessorbased system has a memory system. Almost all systems contain two main types of memory: read-only memory (ROM) and random access memory

More information

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM

CAT28C17A 16K-Bit CMOS PARALLEL EEPROM 16K-Bit CMOS PARALLEL EEPROM HALOGENFREE LEAD TM FREE FEATURES Fast Read Access Times: 200 ns Low Power CMOS Dissipation: Active: 25 ma Max. Standby: 100 µa Max. Simple Write Operation: On-Chip Address

More information

Digital System Design

Digital System Design Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/courses/ensc350 Simon Fraser University i Slide Set: 15 Date: March 30, 2009 Slide

More information

12-Mbit (512 K 24) Static RAM

12-Mbit (512 K 24) Static RAM 12-Mbit (512 K 24) Static RAM Features High speed t AA = 10 ns Low active power I CC = 175 ma at 10 ns Low CMOS standby power I SB2 = 25 ma Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic

More information

Computer Memory. Textbook: Chapter 1

Computer Memory. Textbook: Chapter 1 Computer Memory Textbook: Chapter 1 ARM Cortex-M4 User Guide (Section 2.2 Memory Model) STM32F4xx Technical Reference Manual: Chapter 2 Memory and Bus Architecture Chapter 3 Flash Memory Chapter 36 Flexible

More information

California PC FLASH ATA PC Card PCMCIA Full Specifications

California PC FLASH ATA PC Card PCMCIA Full Specifications CaliforniaPC.com California Peripherals & Components, Inc. WorldWide Supplier of Computer Hardware & Software Any Where. Any Temperature.* California PC FLASH ATA PCMCIA Full Specifications Industrial

More information

Features INSTRUCTION DECODER CONTROL LOGIC AND CLOCK GENERATORS COMPARATOR AND WRITE ENABLE EEPROM ARRAY READ/WRITE AMPS DATA IN/OUT REGISTER 16 BITS

Features INSTRUCTION DECODER CONTROL LOGIC AND CLOCK GENERATORS COMPARATOR AND WRITE ENABLE EEPROM ARRAY READ/WRITE AMPS DATA IN/OUT REGISTER 16 BITS July 2000 FM9366 (MICROWIRE Bus Interface) 4096- Serial EEPROM General Description FM9366 is a 4096-bit CMOS non-volatile EEPROM organized as 256 x 16-bit array. This device features MICROWIRE interface

More information

Parallel NOR and PSRAM 56-Ball MCP Combination Memory

Parallel NOR and PSRAM 56-Ball MCP Combination Memory Parallel NOR and PSRAM 56-Ball MCP Combination Memory MT38L3031AA03JVZZI.X7A 56-Ball MCP: 128Mb Parallel NOR and 64Mb PSRAM Features Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant,

More information

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18

I/O 0 I/O 7 WE CE 2 OE CE 1 A17 A18 2M x 8 Static RAM Features High speed t AA = 8, 10, 12 ns Low active power 1080 mw (max.) Operating voltages of 3.3 ± 0.3V 2.0V data retention Automatic power-down when deselected TTL-compatible inputs

More information

DS1306. Serial Alarm Real Time Clock (RTC)

DS1306. Serial Alarm Real Time Clock (RTC) www.dalsemi.com FEATURES Real time clock counts seconds, minutes, hours, date of the month, month, day of the week, and year with leap year compensation valid up to 2100 96-byte nonvolatile RAM for data

More information

512-Kilobit 2.7-volt Minimum SPI Serial Flash Memory AT25BCM512B. Preliminary

512-Kilobit 2.7-volt Minimum SPI Serial Flash Memory AT25BCM512B. Preliminary Features Single 2.7V - 3.6V Supply Serial Peripheral Interface (SPI) Compatible Supports SPI Modes and 3 7 MHz Maximum Operating Frequency Clock-to-Output (t V ) of 6 ns Maximum Flexible, Optimized Erase

More information

HT CMOS 2K 8-Bit SRAM

HT CMOS 2K 8-Bit SRAM CMOS 2K 8-Bit SRAM Features Single 5V power supply Low power consumption Operating: 400mW (Typ.) Standby: 5µW (Typ.) 70ns (Max.) high speed access time Power down by pin CS TTL compatible interface levels

More information

DS1814/DS1819 5V and 3.3V MicroMonitor

DS1814/DS1819 5V and 3.3V MicroMonitor 5V and 3.3V MicroMonitor www.maxim-ic.com FEATURES Halts and restarts an out-of-control microprocessor Holds microprocessor in check during power transients Automatically restarts microprocessor after

More information

1 Megabit Serial Flash EEPROM SST45LF010

1 Megabit Serial Flash EEPROM SST45LF010 EEPROM FEATURES: Single.0-.V Read and Write Operations Serial Interface Architecture SPI Compatible: Mode 0 and Mode Byte Serial Read with Single Command Superior Reliability Endurance: 00,000 Cycles (typical)

More information

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices School of Engineering, University of Guelph Winter 2017 1 Objectives: The purpose of this lab is : Learn basic bus design techniques.

More information

DS1220AB/AD 16k Nonvolatile SRAM

DS1220AB/AD 16k Nonvolatile SRAM DS122AB/AD 16k Nonvolatile SRAM www.dalsemi.com FEATURES years minimum data retention in the absence of external power Data is automatically protected during power loss Directly replaces 2k x 8 volatile

More information

The 80C186XL 80C188XL Integrated Refresh Control Unit

The 80C186XL 80C188XL Integrated Refresh Control Unit APPLICATION BRIEF The 80C186XL 80C188XL Integrated Refresh Control Unit GARRY MION ECO SENIOR APPLICATIONS ENGINEER November 1994 Order Number 270520-003 Information in this document is provided in connection

More information

UMBC. 80C86/80C88: CMOS version draws 10mA with temp spec -40 to 225degF. 450mV while input max can be no higher than 800mV). 0 0.

UMBC. 80C86/80C88: CMOS version draws 10mA with temp spec -40 to 225degF. 450mV while input max can be no higher than 800mV). 0 0. 8086/88 Device Specifications Both are packaged in DIP (Dual In-Line Packages). 8086: 16-bit microprocessor with a 16-bit data bus 8088: 16-bit microprocessor with an 8-bit data bus. Both are 5V parts:

More information

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline

Alex Milenkovich 1. CPE/EE 421 Microcomputers: Motorola The CPU Hardware Model. Outline Outline CPE/EE 421 Microcomputers: Motorola 68000 The CPU Hardware Model Instructor: Dr Aleksandar Milenkovic Lecture Notes 68000 interface Timing diagram Minimal configuration using the 68000 Extensions

More information

DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers

DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers General Description The DP8420V 21V 22V-33 DP84T22-25 dynamic RAM controllers provide a low cost single chip

More information

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B MSAN-145 How to Interface Mitel Components to Parallel Bus CPUs TABL OF CONTNTS Introduction ISSU 1 August 1993 1.0 Group 1 Components 1.1 Interfacing to the 6802 1.2 Interfacing to the 6809 1.3 Interfacing

More information

Summer 2003 Lecture 18 07/09/03

Summer 2003 Lecture 18 07/09/03 Summer 2003 Lecture 18 07/09/03 NEW HOMEWORK Instruction Execution Times: The 8088 CPU is a synchronous machine that operates at a particular clock frequency. In the case of the original IBM PC, that clock

More information

FM24C64C-GTR. 64Kb Serial 5V F-RAM Memory Features. Pin Configuration. Description A0 A1 A2 VSS VDD SCL SDA. Ordering Information.

FM24C64C-GTR. 64Kb Serial 5V F-RAM Memory Features. Pin Configuration. Description A0 A1 A2 VSS VDD SCL SDA. Ordering Information. Preliminary FM24C64C 64Kb Serial 5V F-RAM Memory Features 64K bit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 36 Year Data Retention at +75

More information

4-Mbit (512K x 8) Static RAM

4-Mbit (512K x 8) Static RAM 4-Mbit (512K x 8) Static RAM Features Temperature Ranges Commercial: 0 C to 70 C Industrial: 40 C to 85 C Automotive: 40 C to 125 C High speed t AA = 10 ns Low active power 324 mw (max.) 2.0V data retention

More information

16COM / 40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM / 40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION KS0066U is a dot matrix LCD driver & controller LSI whichis fabricated by low power CMOS technology It can display 1or 2 lines with the 5 8 dots format or 1 line with the 5 11 dots format

More information

DS1626/DS1726 High-Precision 3-Wire Digital Thermometer and Thermostat

DS1626/DS1726 High-Precision 3-Wire Digital Thermometer and Thermostat www.maxim-ic.com DESCRIPTION The DS1626 and DS1726 digital thermometers/thermostats provide temperature measurements and stand-alone thermostat capability over a -55 C to +125 C range. The DS1626 offers

More information