Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math

Size: px
Start display at page:

Download "Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math"

Transcription

1

2 Need more memory! What do we do if our 2K of SRAM isn t enough? Doing complex DSP calculations Large matrix math We need a bigger scratch pad Must interface to external memory module!

3 The HCS12 Solution The way that the HCS12 deals with this problem is to use what is called Multiplexed External Bus Interfacing (MEBI) This basically takes the internal data/address bus and sends it out to the Port A and Port B pins. In this way, we are directly connecting the external SRAM to our processor.

4 The HCS12 Solution But why? This seems like a lot of work We can now, on startup, initialize our micro so that it s aware of this new memory. This involves writing to various registers (we ll get to this later) Altogether, this means that we can place our SRAM into the micro s address space and use it just as we would our embedded SRAM (there s just a lot more of it now).

5 Memory Hierarchy On the HCS12, the memory spaces are prioritized. External memory has the lowest priority. We should put the external SRAM into the space where other memory doesn t exist

6 Generally (micro signals) Let s consider a very general system for external memory interfacing The micro will send or receive only a few signals Address bus Data bus Read/Write (R/W ) Clock (CLK)

7 Generally (micro signals) Address bus Micro tells the SRAM which address it s interested in (read or write) Data bus Bidirectional Input to micro on read cycles Output from micro on write cycles

8 Generally (micro signals) R/W Micro tells the SRAM whether the current cycle is a read or a write. For a read cycle, R/W = 1 For a write cycle, R/W = 0 CLK Micro bus clock Is used to generate SRAM control signals combinationally (with the PLD).

9 Generally (SRAM signals) The external SRAM will require certain signals in order to perform its operations. Address bus Data bus OE CE WE

10 Generally (SRAM signals) Address bus/data bus are directly connected to the micro. OE : (R/W & CLK) Output enable Tells the SRAM to take control of the data bus and send out the read data. during the second half (CLK = ) of a read cycle during first half of read cycle and always during write cycles

11 Generally (SRAM signals) WE : ((R/W ) & CLK) Write enable Tells the SRAM to write the data on the data bus to the address on the address bus. The SRAM write occurs on the rising edge of WE. during second half (CLK = ) of write cycle during first half of write cycle and always during read cycles

12 Generally (SRAM signals) CE (ADDR[15] & CLK) Chip enable (chip select) Enables or disables the SRAM chip operation. This functionality is useful if you want to design a system with multiple SRAM chips. Notice, CE is a function of ADDR[15], which would mean that we could select between two 32KB SRAM chips, depending on the address. to enable chip (always in our case) to disable chip

13 Putting It Together Now that we understand the requirements of both sides of the transactions, let s start assembling the circuit We can assume that we will use a PLD to perform the generation of the SRAM signals (OE, WE, CE ) These signals are only a function of R/W, CLK and ADDR[15]

14 Putting It Together Micro DATA 8 or 16 SRAM ADDR R/W CLK ADDR[15] 16 PLD OE WE CE

15 CLK = t=0 Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (Prev. Addr) R/W CLK ADDR[15] PLD OE WE CE

16 CLK = t=t AH Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16? R/W CLK ADDR[15]? PLD OE WE CE

17 CLK = t=t AD Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE

18 CLK = t=t AD +t PLD Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

19 CLK = t=t/2 Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

20 CLK = t=t/2+t PLD Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

21 There are three SRAM timing parameters that the micro must meet in order to guarantee a valid read or write. All of these parameters are measured from where their respective signal becomes valid. t AA Memory address access time to data valid This is the amount of setup time the SRAM requires for the address lines before valid data can be output. It is measured off of the time where the address becomes valid (typically t=t AD ).

22 t OE Memory output enable valid to data valid This is the amount of setup time the SRAM requires for the OE before valid data can be output. It is measured off of the time where OE becomes valid (t=t/2 + t PLD ). t CE Memory chip enable valid to data valid This is the amount of setup time the SRAM requires for the CE before valid data can be output. It is measured off of the time where CE becomes valid (t=t AD + t PLD ).

23 CLK = t=t AD +t AA Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

24 CLK = t=t AD +t PLD +t CE Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

25 CLK = t=t/2+t PLD +t OE Micro DATA 8 or 16 (Prev. Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

26 Let us define another parameter which will correspond to the absolute critical time referenced off t=0 (Brown Line) called t CRIT t CRIT will be the max of the absolute valid times for ADDR, OE and CE, t CRIT = max(t AD +t AA, t AD +t PLD +t CE, T/2+t PLD +t OE ) Please note: this equation only holds for the general, non-time-multiplexed case.

27 CLK = t=t CRIT Micro DATA 8 or 16 (New Data) SRAM ADDR 16 (New Addr) R/W CLK ADDR[15] PLD OE WE CE PLD Prop. Delay = t PLD

28 The last requirement for successful operation is for the DATA line to satisfy the micro s read data setup time (t RS ). This means that the DATA line must be valid t RS before the occurrence of the read (t=t or the rising edge of CLK). If this setup time is violated, the micro cannot guarantee that it read the correct data.

29 Micro DATA 8 or 16 CLK = Read Occurs (New Data) t=t SRAM ADDR 16 (New Addr) ADDR[15] PLD OE R/W CLK WE CE PLD Prop. Delay = t PLD

30 The read timing margin is the amount of time that the DATA bus is valid before the read setup time requires it to be valid. Read timing margin = T t RS t CRIT

31 Successive Write Cycles: Write cycles follow the same procedure with a few signal differences. R/W = 0, signifying a write cycle OE = and WE = --> 0 Since DATA is now be supplied by the micro, the DATA valid time is no longer a function of SRAM parameters. Instead, the micro parameter t DD determines the write data valid time.

32 Successive Write Cycles: The setup write setup and hold times are now described by t IS and t IH The write occurs at the rising edge of WE, which will occur at t=t+t PLD

33 Time-division multiplexing When interfacing to SRAM with the HCS12, we use time-division multiplexing. In this case, the ADDR and DATA busses are time-division multiplexed. The two logical busses share one set of physical wires. The ADDR is displayed during the first half of the cycle and the DATA is displayed during the second half.

34 Time-division multiplexing One thing to note is that the address needs to be displayed to the SRAM during the whole cycle. A consequence of this is that the address A consequence of this is that the address needs to be latched for the second half of the cycle since the DATA will be on the bus during this part of the cycle.

35 CLK = t=0 Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Prev. Addr) (Prev. Data) PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE

36 CLK = t=t AH Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8?? PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE? WE CE

37 CLK = t=t AD Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB) (Addr. MSB) PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE? CE

38 CLK = t=t AD +t PLD Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB) (Addr. MSB) PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE PLD t PD = t CO = t PLD

39 CLK = t=t/2 Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB) (Addr. MSB) PLD SRAM ADDR[7:0] DATA[7:0]? 8 LA[7:0] ADDR[15:8]? OE WE CE PLD t PD = t CO = t PLD

40 The diagram depicts the shared address/data bus from the micro s perspective You should notice that ADDR is held for t MAH after the rising edge of ECLK.

41 CLK = t=t/2+t PLD Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB) (Addr. MSB) PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE PLD t PD = t CO = t PLD

42 After t=t/2 + t MAH, you see that the micro switches the ADDR/DATA from an output to an input which allows the SRAM to drive the read data on to the bus. t = 0 t = T/2 + t MAH

43 CLK = t=t/2+t MAH Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB)? PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE PLD t PD = t CO = t PLD

44 From here, now we can use our traditional analysis for margin using t AA, t CE and t OE to determine t CRIT (Brown Line) making sure to measure these parameters from when their respective signals become valid.

45 CLK = t=t/2 + t CRIT Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 (Addr. LSB) (New Data) PLD SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE PLD t PD = t CO = t PLD

46 Finally, we have to check that t RS is satisfied and can derive our read timing margin as before. If margin is negative, the data that is read by the micro is not guaranteed to be correct.

47 Micro ADDR[7:0] ADDR[15:8]/ DATA R/W CLK 8 8 CLK = Read Occurs (Addr. LSB) (New Data) PLD t=t SRAM ADDR[7:0] DATA[7:0] 8 LA[7:0] (Prev.LA) ADDR[15:8] OE WE CE PLD t PD = t CO = t PLD

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners

BUS TIMING ANALYSIS. George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners BUS TIMING ANALYSIS George E Hadley, Timothy Rogers, and David G Meyer 2018, Images Property of their Respective Owners LEARNING OBJECTIVES identify CPU and memory timing parameters draw a bus timing diagram

More information

Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER.

Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER. ECE 362 Midterm Lab Practical - 1 - Practice Exam / Solution PART 1: Multiple Choice Select the single most appropriate response for each question. Note that none of the above MAY be a VALID ANSWER. (Solution

More information

Lecture 25 March 23, 2012 Introduction to Serial Communications

Lecture 25 March 23, 2012 Introduction to Serial Communications Lecture 25 March 23, 2012 Introduction to Serial Communications Parallel Communications Parallel Communications with Handshaking Serial Communications Asynchronous Serial (e.g., SCI, RS-232) Synchronous

More information

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide

Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide Using the MC9S12 in Expanded Mode External Ports S12CPUV2 Reference Manual Multiplexed External Bus Interface (MEBI) Module V3 Block User Guide - Computer with N bit address bus can access 2 N bytes of

More information

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions

MC9S12 has 16 bit address and 16 bit data buses. Not enough pins on MC9S12 to allocate 35 pins for buses and pins for all other functions The Multiplexed Address/Data Bus ADDR(16) MC9S12 DATA(16) R/W E LSTRB MEMORY MC9S12 has 16 bit address and 16 bit data buses Requires 35 bits Not enough pins on MC9S12 to allocate 35 pins for buses and

More information

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS

MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS MICROPROCESSOR AND MICROCONTROLLER BASED SYSTEMS UNIT I INTRODUCTION TO 8085 8085 Microprocessor - Architecture and its operation, Concept of instruction execution and timing diagrams, fundamentals of

More information

Communication interfaces

Communication interfaces Communication interfaces Emphasis on serial interfaces Parallel link Unit 2 Unit Serial link Unit 2 Unit Skew in parallel link Risk of missreading 2 Unbalanced link One signal line Ground Balanced link

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Instructions Involve a Segment Register (SR-field)

Instructions Involve a Segment Register (SR-field) BYTE 1 = 11000111 2 = C7 16 BYTE 2 = (MOD)000(R/M) = 100000112 = 83 16 BYTE 3 = 34 16 and BYTE 4 = 12 16 BYTE 5 = CD 16 and BYTE 6 = AB 16 The machine code for the instruction is: MOV [BP+DI+1234H], 0ABCDH

More information

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode

Review for Exam III. Analog/Digital Converters. The MC9S12 has two 10-bit successive approximation A/D converters - can be used in 8-bit mode Methods used for A/D converters Flash (Parallel) Successive Approximation Review for Exam III Analog/Digital Converters A/D converters are classified according to: Resolution (number of bits) Speed (number

More information

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085.

1 MALP ( ) Unit-1. (1) Draw and explain the internal architecture of 8085. (1) Draw and explain the internal architecture of 8085. The architecture of 8085 Microprocessor is shown in figure given below. The internal architecture of 8085 includes following section ALU-Arithmetic

More information

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver )

Topic 3. ARM Cortex M3(i) Memory Management and Access. Department of Electronics Academic Year 14/15. (ver ) Topic 3 ARM Cortex M3(i) Memory Management and Access Department of Electronics Academic Year 14/15 (ver 25-10-2014) Index 3.1. Memory maps 3.2. Memory expansion 3.3. Memory management & Data alignment

More information

8051 Interfacing: Address Map Generation

8051 Interfacing: Address Map Generation 85 Interfacing: Address Map Generation EE438 Fall2 Class 6 Pari vallal Kannan Center for Integrated Circuits and Systems University of Texas at Dallas 85 Interfacing Address Mapping Use address bus and

More information

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B

Z Z-280 MT8930, MT8992/3/4/5 MT8880 MT8888 MT8889 MT8980/1 MT8985, MT8986 (DIP-40) MT8986 (PLCC-44) MT8920B MT8952B MSAN-145 How to Interface Mitel Components to Parallel Bus CPUs TABL OF CONTNTS Introduction ISSU 1 August 1993 1.0 Group 1 Components 1.1 Interfacing to the 6802 1.2 Interfacing to the 6809 1.3 Interfacing

More information

Wed. Sept 20 Announcements

Wed. Sept 20 Announcements Wed. Sept 20 Announcements HW/Lab 5 Posted Lab 5 is done in pairs HW is probably more intensive than the lab. Module 1 Clicker Quiz Friday All module 1 fair game. Focus on stuff not yet quizzed on. [2.A]-1

More information

Chapter 8 Summary: The 8086 Microprocessor and its Memory and Input/Output Interface

Chapter 8 Summary: The 8086 Microprocessor and its Memory and Input/Output Interface Chapter 8 Summary: The 8086 Microprocessor and its Memory and Input/Output Interface Figure 1-5 Intel Corporation s 8086 Microprocessor. The 8086, announced in 1978, was the first 16-bit microprocessor

More information

Introduction: - Page 1 -

Introduction: - Page 1 - A Guide for Motorola HCS12 Expanded Mode Bus-Design and for Connecting an HCS12 Expanded Mode Target to a Nohau HCS12 Full-ICE By: Doron Fael, Nohau October 20, 2003 Introduction: The Motorola HCS12 family

More information

16COM / 80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM / 80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION KS0070B is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology. It is capable of displaying 1 or 2 lines with the 5 7 format or 1 line with the 5 10 dots

More information

PIN ASSIGNMENT PIN DESCRIPTION

PIN ASSIGNMENT PIN DESCRIPTION www.dalsemi.com FEATURES Temperature measurements require no external components Measures temperatures from -55 C to +120 C. Fahrenheit equivalent is -67 F to +248 F Thermometer accuracy is ±2.0 C Thermometer

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. 16,384-BIT EPROM WITH I/O! 2048 Words x 8 Bits! Single + 5V Power Supply

More information

Programmable Peripheral Application Note 048

Programmable Peripheral Application Note 048 Programmable Peripheral Application Note 048 Designing with Flash Memory By Dan Friedman WSI Introduction Flash Memory has gained a wide popularity as a choice of use in embedded system solutions. Many

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor Practical 1 Date : AIM : Introduction Of Microprocessor 8085. 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within µp to carry out the instruction, which has been decoded.

More information

16COM / 40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM / 40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION KS0066U is a dot matrix LCD driver & controller LSI whichis fabricated by low power CMOS technology It can display 1or 2 lines with the 5 8 dots format or 1 line with the 5 11 dots format

More information

Allmost all systems contain two main types of memory :

Allmost all systems contain two main types of memory : Memory Interface Allmost all systems contain two main types of memory : read-only memory (ROM) system software and permanent system data random access memory (RAM) or read/write memory application software

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within up to carry out the instruction, which has been decoded. In reality causes certain connections between blocks of the

More information

Application Note 89 High-Speed Micro Memory Interface Timing

Application Note 89 High-Speed Micro Memory Interface Timing www.dalsemi.com Application Note 89 High-Speed Micro Memory Interface Timing INTRODUCTION The DS80C320 microcontroller was the first member of the new High-Speed Micro Family from Dallas Semiconductor.

More information

8 MEMORY INTERFACE. Overview. Program Memory and Data Memory. Figure 8-0. Table 8-0. Listing 8-0.

8 MEMORY INTERFACE. Overview. Program Memory and Data Memory. Figure 8-0. Table 8-0. Listing 8-0. 8 MEMORY INTERFACE Figure 8-0. Table 8-0. Listing 8-0. Overview The ADSP-218x family of processors has a modified Harvard architecture in which data memory stores data and program memory stores both instructions

More information

1. Internal Architecture of 8085 Microprocessor

1. Internal Architecture of 8085 Microprocessor Practical 1 Date : AIM : Introduction Of Microprocessor 8085. 1. Internal Architecture of 8085 Microprocessor Control Unit Generates signals within µp to carry out the instruction, which has been decoded.

More information

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621 企业网站.zxlcd.com

中显液晶 技术资料 中显控制器使用说明书 2009年3月15日 北京市海淀区中关村大街32号和盛大厦811室 电话 86 010 52926620 传真 86 010 52926621   企业网站.zxlcd.com http://wwwzxlcdcom 4 SEG / 6 COM DRIVER & CONTROLLER FOR DOT MATRIX LCD June 2 Ver Contents in this document are subject to change without notice No part of this document may be reproduced or transmitted

More information

34COM/60SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

34COM/60SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD 34COM/6SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology It can display, 2 or 4 lines with 5 8 or 6 8

More information

16COM/40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM/40SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD 6COM/4SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology It can display, 2-line with 5 x 8 or 5 x dots

More information

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1

Roberto Muscedere Images and Text Portions 2003 Prentice Hall 1 Microcomputer Structure and Operation Chapter 5 A Microprocessor ( P) contains the controller, ALU and internal registers A Microcomputer ( C) contains a microprocessor, memory (RAM, ROM, etc), input and

More information

FM24C Kb FRAM Serial Memory Features

FM24C Kb FRAM Serial Memory Features Preliminary FM24C512 512Kb FRAM Serial Memory Features 512Kbit Ferroelectric Nonvolatile RAM Organized as 65,536 x 8 bits High Endurance 10 Billion (10 10 ) Read/Writes 45 year Data Retention NoDelay Writes

More information

Debounced 8 8 Key-Scan Controller

Debounced 8 8 Key-Scan Controller Debounced 8 8 Key-Scan Controller Description The SN7326 is a 64 key, key-scan controller. It offloads the burden of keyboard scanning from the host processor. The SN7326 supports keypad matrix of up to

More information

Advanced Computer Architecture

Advanced Computer Architecture 18-742 Advanced Computer Architecture Test 2 April 14, 1998 Name (please print): Instructions: DO NOT OPEN TEST UNTIL TOLD TO START YOU HAVE UNTIL 12:20 PM TO COMPLETE THIS TEST The exam is composed of

More information

Application Note 15 G X24C44 V CC DI DO

Application Note 15 G X24C44 V CC DI DO Application Note The X24C44 NOVRAM Teams up with 8051 Microcontrollers by Rick Orlando, January 1990 Introduction The X24C44 is a 256-bit serial NOVRAM internally configured as sixteen 16-bit words of

More information

University of Alexandria Faculty of Engineering Division of Communications & Electronics

University of Alexandria Faculty of Engineering Division of Communications & Electronics University of Alexandria Faculty of Engineering Division of Communications & Electronics Subject Name: Microprocessors Lecturer: Dr. Mohammed Morsy Academic Year: 2012 2013 Assistants: Eng. Ahmed Bedewy

More information

SPI 3-Wire Master (VHDL)

SPI 3-Wire Master (VHDL) SPI 3-Wire Master (VHDL) Code Download Features Introduction Background Port Descriptions Clocking Polarity and Phase Command and Data Widths Transactions Reset Conclusion Contact Code Download spi_3_wire_master.vhd

More information

1/Build a Mintronics: MintDuino

1/Build a Mintronics: MintDuino 1/Build a Mintronics: The is perfect for anyone interested in learning (or teaching) the fundamentals of how micro controllers work. It will have you building your own micro controller from scratch on

More information

DS Wire Digital Thermometer and Thermostat

DS Wire Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature measurements require no external components with ±1 C accuracy Measures temperatures from -55 C to +125 C; Fahrenheit equivalent is -67 F to +257 F Temperature resolution

More information

Problem Set 10 Solutions

Problem Set 10 Solutions CSE 260 Digital Computers: Organization and Logical Design Problem Set 10 Solutions Jon Turner thru 6.20 1. The diagram below shows a memory array containing 32 words of 2 bits each. Label each memory

More information

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text

e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text e-pg Pathshala Subject : Computer Science Paper: Embedded System Module: 8051 Architecture Module No: CS/ES/5 Quadrant 1 e-text In this lecture the detailed architecture of 8051 controller, register bank,

More information

EE 308 Spring Lecture 28 March 30, 2012 Review for Exam 2. Introduction to the MC9S12 Expanded Mode

EE 308 Spring Lecture 28 March 30, 2012 Review for Exam 2. Introduction to the MC9S12 Expanded Mode Lecture 28 March 30, 2012 Review for Exam 2 Introduction to the MC9S12 Expanded Mode 1 Review for Exam 2 1. C Programming (a) Setting and clearing bits in registers PORTA = PORTA 0x02; PORTA = PORTA &

More information

History and Basic Processor Architecture

History and Basic Processor Architecture History and Basic Processor Architecture History of Computers Module 1 Section 1 What Is a Computer? An electronic machine, operating under the control of instructions stored in its own memory, that can

More information

16COM/80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD

16COM/80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD 6COM/80SEG DRIVER & CONTROLLER FOR DOT MATRIX LCD INTRODUCTION The is a dot matrix LCD driver & controller LSI which is fabricated by low power CMOS technology It is capable of displaying or 2 lines with

More information

LCM NHD-0440CI-YTBL. User s Guide. (Liquid Crystal Display Module) RoHS Compliant. For product support, contact NHD CI- Y- T- B- L-

LCM NHD-0440CI-YTBL. User s Guide. (Liquid Crystal Display Module) RoHS Compliant. For product support, contact NHD CI- Y- T- B- L- User s Guide NHD-0440CI-YTBL LCM (Liquid Crystal Display Module) RoHS Compliant NHD- 0440- CI- Y- T- B- L- Newhaven Display 4 Lines x 40 Characters C: Display Series/Model I: Factory line STN Yellow/Green

More information

UMBC D 7 -D. Even bytes 0. 8 bits FFFFFC FFFFFE. location in addition to any 8-bit location. 1 (Mar. 6, 2002) SX 16-bit Memory Interface

UMBC D 7 -D. Even bytes 0. 8 bits FFFFFC FFFFFE. location in addition to any 8-bit location. 1 (Mar. 6, 2002) SX 16-bit Memory Interface 8086-80386SX 16-bit Memory Interface These machines differ from the 8088/80188 in several ways: The data bus is 16-bits wide. The IO/M pin is replaced with M/IO (8086/80186) and MRDC and MWTC for 80286

More information

The Memory Hierarchy Part I

The Memory Hierarchy Part I Chapter 6 The Memory Hierarchy Part I The slides of Part I are taken in large part from V. Heuring & H. Jordan, Computer Systems esign and Architecture 1997. 1 Outline: Memory components: RAM memory cells

More information

FM24C64C-GTR. 64Kb Serial 5V F-RAM Memory Features. Pin Configuration. Description A0 A1 A2 VSS VDD SCL SDA. Ordering Information.

FM24C64C-GTR. 64Kb Serial 5V F-RAM Memory Features. Pin Configuration. Description A0 A1 A2 VSS VDD SCL SDA. Ordering Information. Preliminary FM24C64C 64Kb Serial 5V F-RAM Memory Features 64K bit Ferroelectric Nonvolatile RAM Organized as 8,192 x 8 bits High Endurance 1 Trillion (10 12 ) Read/Writes 36 Year Data Retention at +75

More information

Chapter 1 Microprocessor architecture ECE 3120 Dr. Mohamed Mahmoud http://iweb.tntech.edu/mmahmoud/ mmahmoud@tntech.edu Outline 1.1 Computer hardware organization 1.1.1 Number System 1.1.2 Computer hardware

More information

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller

Interfacing GSI Sync SRAMs to a Freescale Multiplexed MPC567xF or PXR40xx Microcontroller N1020 Interfacing SI Sync SRMs to a Freescale Multiplexed MPC567xF or PXR40xx Introduction This application note will discuss interfacing a Freescale MPC567xF microcontroller or the Freescale e200 Power

More information

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices

ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices ENGG3380: Computer Organization and Design Lab4: Buses and Peripheral Devices School of Engineering, University of Guelph Winter 2017 1 Objectives: The purpose of this lab is : Learn basic bus design techniques.

More information

PRINCETON (VON NEUMAN) ARCHITECTURE MICROPROCESSOR

PRINCETON (VON NEUMAN) ARCHITECTURE MICROPROCESSOR 1 IN OUT PRINCTON (VON NUMAN) ARCHITCTUR DATA MICROPROCSSOR ALU CONTROL STATUS INSTRUCTION CONTROL CONTROL & ADDR CLOCK ADDR(N Bits) COMPUTR DATA(M Bits) CONTROL Computer with N bit address bus can access

More information

DKAN0001A. Programmable Logic Controller for Character LCD Modules. Introduction. Application. State Machine. 23 July 2008

DKAN0001A. Programmable Logic Controller for Character LCD Modules. Introduction. Application. State Machine. 23 July 2008 DKAN0001A Programmable Logic Controller for Character LCD Modules 23 July 2008 Introduction This application note provides and discusses a VHDL component for LCD control. The controller manages the initialization

More information

UMBC. Select. Read. Write. Output/Input-output connection. 1 (Feb. 25, 2002) Four commonly used memories: Address connection ... Dynamic RAM (DRAM)

UMBC. Select. Read. Write. Output/Input-output connection. 1 (Feb. 25, 2002) Four commonly used memories: Address connection ... Dynamic RAM (DRAM) Memory Types Two basic types: ROM: Read-only memory RAM: Read-Write memory Four commonly used memories: ROM Flash (EEPROM) Static RAM (SRAM) Dynamic RAM (DRAM) Generic pin configuration: Address connection

More information

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3.

RTC Interface 89C51 DS M. Krishna Kumar MAM/M7/LU17/V1/ Vcc VCC 5 SDA P1.0 6 SCL P KHz 3 BAT 3. RTC Interface 89C51 Vcc P1.0 10k 10k 5 SDA DS 1307 8 VCC P1.1 6 SCL X1 1 + 3 BAT X2 2 32.768KHz - 3.6V 4 GND INTB\SQW 7 M. Krishna Kumar MAM/M7/LU17/V1/2004 1 RTC Interface contd. DS 1307 is a real time

More information

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM

HM628128BI Series. 131,072-word 8-bit High speed CMOS Static RAM 131,072-word 8-bit High speed CMOS Static RAM ADE-203-363A(Z) Rev. 1.0 Apr. 28, 1995 The Hitachi HM628128BI is a CMOS static RAM organized 131,072-word 8-bit. It realizes higher density, higher performance

More information

TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family

TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family E TECHNICAL PAPER Interfacing the Byte- Wide SmartVoltage FlashFile Memory Family to the Intel486 Microprocessor Family October 1996 Order Number: 297805-001 Information in this document is provided in

More information

A Simple Parallel Input Port

A Simple Parallel Input Port 308 Apr. 8, 2002 A Simple Parallel Input Port We want a port which will read 8 bits of data from the outside Such a port is similar to or Port B when all pins are set up as input We need some hardware

More information

DS1646/DS1646P Nonvolatile Timekeeping RAM

DS1646/DS1646P Nonvolatile Timekeeping RAM 19-5595; Rev 10/10 DS1646/DS1646P Nonvolatile Timekeeping RAM www.maxim-ic.com FEATURES Integrates NV SRAM, Real-Time Clock, Crystal, Power-Fail Control Circuit and Lithium Energy Source Clock Registers

More information

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud.

Chapter 1. Microprocessor architecture ECE Dr. Mohamed Mahmoud. Chapter 1 Microprocessor architecture ECE 3130 Dr. Mohamed Mahmoud The slides are copyright protected. It is not permissible to use them without a permission from Dr Mahmoud http://www.cae.tntech.edu/~mmahmoud/

More information

FM24C Kb FRAM Serial Memory Features

FM24C Kb FRAM Serial Memory Features 256Kb FRAM Serial Memory Features 256Kbit Ferroelectric Nonvolatile RAM Organized as 32,768 x 8 bits High Endurance 10 Billion (10 10 ) Read/Writes 45 year Data Retention NoDelay Writes Advanced High-Reliability

More information

DS1845 Dual NV Potentiometer and Memory

DS1845 Dual NV Potentiometer and Memory www.maxim-ic.com FEATURES Two linear taper potentiometers -010 one 10k, 100 position & one 10k, 256 position -050 one 10k, 100 position & one 50k, 256 postition -100 one 10k, 100 position & one 100k, 256

More information

Memory Supplement for Section 3.6 of the textbook

Memory Supplement for Section 3.6 of the textbook The most basic -bit memory is the SR-latch with consists of two cross-coupled NOR gates. R Recall the NOR gate truth table: A S B (A + B) The S stands for Set to remember, and the R for Reset to remember.

More information

White Paper Using the MAX II altufm Megafunction I 2 C Interface

White Paper Using the MAX II altufm Megafunction I 2 C Interface White Paper Using the MAX II altufm Megafunction I 2 C Interface Introduction Inter-Integrated Circuit (I 2 C) is a bidirectional two-wire interface protocol, requiring only two bus lines; a serial data/address

More information

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit

Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface to a main controller. The digit FPGA Interface for Signal Handling (FISH) Mohsen Moussavi Catena Networks April 2000 Introduction Testing analog integrated circuits including A/D and D/A converters, requires a special digital interface

More information

Embedded Applications. COMP595EA Lecture03 Hardware Architecture

Embedded Applications. COMP595EA Lecture03 Hardware Architecture Embedded Applications COMP595EA Lecture03 Hardware Architecture Microcontroller vs Microprocessor Microprocessor is a term used to describe all programmed computational devices. Microcontroller is a term

More information

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1

Module 2. Embedded Processors and Memory. Version 2 EE IIT, Kharagpur 1 Module 2 Embedded Processors and Memory Version 2 EE IIT, Kharagpur 1 Lesson 11 Embedded Processors - II Version 2 EE IIT, Kharagpur 2 Signals of a Typical Microcontroller In this lesson the student will

More information

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE:

1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: 1. INTRODUCTION TO MICROPROCESSOR AND MICROCOMPUTER ARCHITECTURE: A microprocessor is a programmable electronics chip that has computing and decision making capabilities similar to central processing unit

More information

EE 308 Spring Lab on IIC Bus

EE 308 Spring Lab on IIC Bus Lab on IIC Bus Next week s lab 1. Communicate with Dallas Semiconductor DS 1307 Real Time Clock (a) Set time and date in clock (b) Read time and date from clock and display 2. Display time and date on

More information

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING. Principles of Computer Operation

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING. Principles of Computer Operation UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING Experiment PCO: Principles of Computer Operation Location: Part I Lab., CYC 102. Objective: The objective is to learn the basic

More information

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM

University of Florida EEL 3744 Spring 2017 Dr. Eric M. Schwartz Department of Electrical & Computer Engineering 22 February Mar-17 1:44 PM Page 1/11 Exam 1 Instructions: Turn off cell phones beepers and other noise making devices. Show all work on the front of the test papers. If you need more room make a clearly indicated note on the front

More information

DS1846 NV Tri-Potentiometer, Memory, and MicroMonitor

DS1846 NV Tri-Potentiometer, Memory, and MicroMonitor www.maxim-ic.com FEATURES Three linear taper potentiometers Two 10k, 100-position One 100k, 256-position 248 bytes of user EEPROM memory Monitors microprocessor power supply, voltage sense, and external

More information

The 9S12 in Expanded Mode - How to get into expanded mode Huang Chapter 14

The 9S12 in Expanded Mode - How to get into expanded mode Huang Chapter 14 The 9S2 in Expanded Mode - How to get into expanded mode Huang Chapter 4 Getting into expanded mode The HCS2 can operate in several modes: Normal Single-Chip Mode (the way we have been using the HCS2)

More information

Part 1 Using Serial EEPROMs

Part 1 Using Serial EEPROMs Part 1 Using Serial EEPROMs copyright 1997, 1999 by Jan Axelson If you have a project that needs a modest amount of nonvolatile, read/write memory, serial EEPROM may be the answer. These tiny and inexpensive

More information

VBattery 7 VCC V DD IRLED IS31SE5001 SDA SCL INTB SDB. Figure 1 Typical Application Circuit

VBattery 7 VCC V DD IRLED IS31SE5001 SDA SCL INTB SDB. Figure 1 Typical Application Circuit IR SENSOR FOR TOUCHLESS PROXIMITY July 2013 GENERAL DESCRIPTION The IS31SE5001 is a low-power, reflectance-based infrared light sensor with advanced signal processing and digital output. The sensor can

More information

MT V Rate Conversion Digital Switch

MT V Rate Conversion Digital Switch MT63 3 V Rate Conversion Digital Switch Features 2,4 52 and 52 x 52 switching among backplane and local streams Rate conversion between 2.4, 4.6 and.2 Mb/s Optional sub-rate switch configuration for 2.4

More information

Total: EEL 3701 Digital Logic & Computer Systems Final Exam Fall Semester 2007 COVER SHEET: Re-Grade Information: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14)

Total: EEL 3701 Digital Logic & Computer Systems Final Exam Fall Semester 2007 COVER SHEET: Re-Grade Information: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14) COVER SHEET: Prob. Points: Re-Grade Information: Total: 1 (10) 2 (10) 3 (10) 4 (14) 5 (14) 6 (15) 7 (15) 8 (12) (100) 1 Remember to show ALL work here and in EVERY problem on this exam. [10%] 1. Circuit

More information

Parallel NOR and PSRAM 56-Ball MCP Combination Memory

Parallel NOR and PSRAM 56-Ball MCP Combination Memory Parallel NOR and PSRAM 56-Ball MCP Combination Memory MT38L3031AA03JVZZI.X7A 56-Ball MCP: 128Mb Parallel NOR and 64Mb PSRAM Features Features Micron Parallel NOR Flash and PSRAM components RoHS-compliant,

More information

ECE 354 Computer Systems Lab II. Memory and Indirect Addressing

ECE 354 Computer Systems Lab II. Memory and Indirect Addressing ECE 354 Computer Systems Lab II Memory and Indirect Addressing Lab report for lab 1 Schematics Lab 2 Comments Label pins used on all chips Use standard chip names/numbers (DB25,SP-233) from the datasheet

More information

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY

CHAPTER 5 : Introduction to Intel 8085 Microprocessor Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY CHAPTER 5 : Introduction to Intel 8085 Hardware BENG 2223 MICROPROCESSOR TECHNOLOGY The 8085A(commonly known as the 8085) : Was first introduced in March 1976 is an 8-bit microprocessor with 16-bit address

More information

DS75 Digital Thermometer and Thermostat

DS75 Digital Thermometer and Thermostat www.maxim-ic.com FEATURES Temperature Measurements Require No External Components Measures Temperatures from -55 C to +125 C (-67 F to +257 F) 2 C Accuracy Over a -25 C to +100 C Range Thermometer Resolution

More information

LatticeMico32 GPIO. Version. Features

LatticeMico32 GPIO. Version. Features The LatticeMico32 GPIO is a general-purpose input/output core that provides a memory-mapped interface between a WISHBONE slave port and generalpurpose I/O ports. The I/O ports can connect to either on-chip

More information

FM24C16C-GTR. 16Kb Serial 5V F-RAM Memory. Features. Description. Pin Configuration NC NC NC VSS VDD WP SCL SDA. Ordering Information.

FM24C16C-GTR. 16Kb Serial 5V F-RAM Memory. Features. Description. Pin Configuration NC NC NC VSS VDD WP SCL SDA. Ordering Information. Preliminary FM24C16C 16Kb Serial 5V F-RAM Memory Features 16K bit Ferroelectric Nonvolatile RAM Organized as 2,048 x 8 bits High Endurance (10 12 ) Read/Write Cycles 36 year Data Retention at +75 C NoDelay

More information

RPG 100 EVALUATION BOARD RPG100-TB(MB) User's manual

RPG 100 EVALUATION BOARD RPG100-TB(MB) User's manual HM-RAE102-0312 RPG 100 EVALUATION BOARD RPG100-TB(MB) User's manual REV. 02 26/09/2003 revised http://www.fdk.co.jp Contents 1. RPG100 Evaluation Board composition Models: RPG100-TB and RPG100-MB 2. Descriptions

More information

Digital Thermometer and Thermostat

Digital Thermometer and Thermostat General Description The DS75 digital thermometer and thermostat provides 9, 10, 11, or 12-bit digital temperature readings over a -55 C to +125 C range with ±2 C accuracy over a -25 C to +100 C range.

More information

3. The MC6802 MICROPROCESSOR

3. The MC6802 MICROPROCESSOR 3. The MC6802 MICROPROCESSOR This chapter provides hardware detail on the Motorola MC6802 microprocessor to enable the reader to use of this microprocessor. It is important to learn the operation and interfacing

More information

Data Sheet W65C134DB Developer Board

Data Sheet W65C134DB Developer Board THE WESTERN DESIGN CENTER, INC. 2166 E. Brown Rd. Mesa, AZ 85213 Ph 480-962-4545 Fx 480-835-6442 www.westerndesigncenter.com Data Sheet W65C134DB Developer Board Copyright 2001 by The Western Design Center,

More information

Computer Organization. Structure of a Computer. Registers. Register Transfer. Register Files. Memories

Computer Organization. Structure of a Computer. Registers. Register Transfer. Register Files. Memories Computer Organization Structure of a Computer Computer design as an application of digital logic design procedures Computer = processing unit + memory system Processing unit = control + Control = finite

More information

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET

Development and research of different architectures of I 2 C bus controller. E. Vasiliev, MIET Development and research of different architectures of I 2 C bus controller E. Vasiliev, MIET I2C and its alternatives I²C (Inter-Integrated Circuit) is a multi-master serial computer bus invented by Philips

More information

Aeroflex UTMC Product Errata

Aeroflex UTMC Product Errata INST Pin Failure Following DMA Sequences with the UT80CXX196KD Table 1: Cross Reference of Affected Product Product Name: SMD #: Device Type: Internal PIC Number: UT80CRH196KD 5962R98583 01 JD02A through

More information

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE:

OTP MATRIX 3-D MEMORY - 32PIN TSOP 16MB, 32MB, 64MB DATA SHEET DOCUMENT NUMBER: DS004 REVISION: 1.11 REVISION DATE: 16MB, 32MB, 64MB DATA SHEET REVISION: 111 REVISION DATE: 06-24-05 REV 111 06/24/05 NOTICES: Copyright 2002, 2004, 2005 Matrix Semiconductor, Inc All rights reserved If you have received this document from

More information

AN1745. Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas. Introduction

AN1745. Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas. Introduction Order this document by /D Interfacing the HC705C8A to an LCD Module By Mark Glenewinkel Consumer Systems Group Austin, Texas Introduction More and more applications are requiring liquid crystal displays

More information

SSD1309. Advance Information. 128 x 64 Dot Matrix OLED/PLED Segment/Common Driver with Controller

SSD1309. Advance Information. 128 x 64 Dot Matrix OLED/PLED Segment/Common Driver with Controller SOLOMON SYSTECH SEMICONDUCTOR TECHNICAL DATA SSD1309 Advance Information 128 x 64 Dot Matrix OLED/PLED Segment/Common Driver with Controller This document contains information on a new product Specifications

More information

JE-AN ELECTRONICS CO.,LTD. Spec. No: WG240128A

JE-AN ELECTRONICS CO.,LTD. Spec. No: WG240128A JEAN ELECTRONICS CO.,LTD. Spec. No: WG240128A LCD Module Specification 1.0 Table of Contents Page 1. Cover & Contents 1 2. Record of revision 2 3. General specification 3 4. Absolute maximum ratings 4

More information

Distributed by: www.jameco.com 1-800-831-4242 The content and copyrights of the attached material are the property of its owner. DS12887 Real Time Clock www.dalsemi.com FEATURES Drop in replacement for

More information

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1

COSC 243. Computer Architecture 1. COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 COSC 243 Computer Architecture 1 COSC 243 (Computer Architecture) Lecture 6 - Computer Architecture 1 1 Overview Last Lecture Flip flops This Lecture Computers Next Lecture Instruction sets and addressing

More information

COMPUTER ORGANIZATION AND ARCHITECTURE

COMPUTER ORGANIZATION AND ARCHITECTURE Page 1 1. Which register store the address of next instruction to be executed? A) PC B) AC C) SP D) NONE 2. How many bits are required to address the 128 words of memory? A) 7 B) 8 C) 9 D) NONE 3. is the

More information

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING

UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING UNIVERSITY OF HONG KONG DEPARTMENT OF ELECTRICAL AND ELECTRONIC ENGINEERING Experiment PCO: Principles of Computer Operation Location: Part I Lab., CYC 102. Objective: The objective is to learn the basic

More information

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions

Review for Exam 3. Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Review for Exam 3 A/D Converter Power-up A/D converter (ATD0CTL2) Write 0x05 to ATD0CTL4 to set at fastest conversion speed and 10-bit conversions Write 0x85 to ATD0CTL4 to set at fastest conversion speed

More information