External Memory Interfaces in Cyclone V Devices

Size: px
Start display at page:

Download "External Memory Interfaces in Cyclone V Devices"

Transcription

1 External Memory Interfaces in Cyclone V Devices..8 CV-5 Subscribe Feedback The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level of system bandwidth within the small modular I/O bank structure. The I/Os are designed provide high-performance support for existing and emerging external memory standards. Table -: Supported External Memory Standards in Cyclone V Devices Memory Standard DDR SDRAM DDR SDRAM LPDDR SDRAM Hard Memory Controller Full rate Full rate Full rate Soft Memory Controller Half rate Half rate Half rate To estimate the external memory system performance specification, use Altera's External Memory Interface Spec Estimar ol. For more information, refer the External Memory Interface Spec Estimar page on the Altera website. For more information about the memory types supported, board design guidelines, timing analysis, simulation, and debugging information, refer the External Memory Interface Handbook. External Memory Performance Table -: External Memory Interface Performance in Cyclone V Devices Interface Voltage (V) Hard Controller (MHz) Soft Controller (MHz) DDR SDRAM DDR SDRAM.8 4 LPDDR SDRAM.. All rights reserved. ALTERA, ARRIA, CYCLONE, HARDCOPY, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are trademarks of and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at Altera warrants performance of its semiconducr products current specifications in accordance with Altera's standard warranty, but reserves the right make changes any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed in writing by Altera. Altera cusmers are advised obtain the latest version of device specifications before relying on any published information and before placing orders for products or services. ISO 9:8 Registered Innovation Drive, San Jose, CA 954

2 - CV-5..8 HPS External Memory Performance Table -: HPS External Memory Interface Performance The hard processor system (HPS) is available in Cyclone V SoC FPGA devices only. Interface Voltage (V) HPS Hard Controller (MHz) DDR SDRAM DDR SDRAM LPDDR SDRAM. Memory Interface Support in Cyclone V Devices In the Cyclone V devices, the memory interface circuitry is available in every I/O bank that does not support transceivers. The devices offer differential input buffers for differential read-data strobe and clock operations. The memory clock pins are generated with double data rate input/output (DDRIO) registers. For more information about which pins use for memory clock pins and pin location requirements, refer the Planning and FPGA Resources chapter of the External Memory Interface Handbook. Guideline: Using DQ/ s The following list provides guidelines on using the DQ/ pins: The devices support DQ and signals with DQ bus modes of x8 or x. Cyclone V devices do not support the x4 bus mode. You can use the n pins that are not used for clocking as DQ pins. If you do not use the DQ/ pins for memory interfacing, you can use these pins as user I/Os. However, unused HPS DQ/ pins on the Cyclone V SX and ST devices cannot be used as user I/Os. Some pins have multiple functions such as RZQ or DQ. If you need extra RZQ pins, you can use some of the DQ pins as RZQ pins instead. Note: For the x8 or x DQ/ groups whose members are used as RZQ pins, Altera recommends that you assign the DQ and pins manually. Otherwise, the Quartus II software might not be able place the DQ and pins, resulting in a no-fit error. Reading the Table For the maximum number of DQ pins and the exact number per group for a particular Cyclone V device, refer the pin table in the Cyclone V Device -Out Files page of the Altera website. In the pin tables, the and n pins denote the differential data strobe/clock pin pairs. The and n pins are listed respectively in the Cyclone V pin tables as XY and nxy. X indicates the DQ/ grouping number and Y indicates whether the group is located on the p (T), botm (B), left (L), or right (R) side of the device.

3 CV-5..8 DQ/ Bus Mode s for Cyclone V Devices The following table list the pin support per DQ/ bus mode, including the and n pin pairs. The maximum number of data pins per group listed in the table may vary according the following conditions: Single-ended signalingthe maximum number of DQ pins includes data mask connected the bus network. Differential or complementary signalingthe maximum number of data pins per group decreases by one. DDR and DDR interfaceseach x8 group of pins require one pin. You may also require one n pin and one DM pin. This further reduces the tal number of data pins available. Table -4: DQ/ Bus Mode s for Cyclone V Devices - Mode n Support Data Mask (Optional) Maximum Data s per Group x8 Yes Yes x Yes Yes

4 -4 DQ/ Groups in Cyclone V E CV-5..8 Table -5: Number of DQ/ Groups Per Side in Cyclone V E Devices This table lists the DQ/ groups for the soft memory controller. For the hard memory controller, you can get the DQ/ groups from the pin table of the specific device at Cyclone V Device -Out Files page. The numbers are preliminary before the devices are available. Member Code Package Side x8 x 5-pin FineLine BGA -pin Ultra FineLine BGA 4 A A4 8-pin Micro FineLine BGA pin Ultra FineLine BGA pin FineLine BGA

5 CV Member Code A5 A Package 8-pin Micro FineLine BGA Side x8 484-pin Ultra FineLine BGA 484-pin FineLine BGA 484-pin Micro FineLine BGA pin Ultra FineLine BGA pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 5 8 x

6 - CV-5..8 Member Code A9 Package 484-pin Ultra FineLine BGA Side x8 484-pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 5 8 x DQ/ Groups in Cyclone V GX Table -: Number of DQ/ Groups Per Side in Cyclone V GX Devices This table lists the DQ/ groups for the soft memory controller. For the hard memory controller, you can get the DQ/ groups from the pin table of the specific device at Cyclone V Device -Out Files page. The numbers are preliminary before the devices are available. Member Code C Package -pin Ultra FineLine BGA Side 484-pin Ultra FineLine BGA 484-pin FineLine BGA x x

7 CV Member Code C4 C5 C Package -pin Micro FineLine BGA 8-pin Micro FineLine BGA Side x8 484-pin Ultra FineLine BGA 484-pin FineLine BGA -pin FineLine BGA 484-pin Micro FineLine BGA pin Ultra FineLine BGA pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 5 8 x

8 -8 CV-5..8 Member Code C9 Package 484-pin Ultra FineLine BGA Side x8 484-pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 5-pin FineLine BGA x 4 4

9 CV-5..8 DQ/ Groups in Cyclone V GT -9 Table -: Number of DQ/ Groups Per Side in Cyclone V GT Devices This table lists the DQ/ groups for the soft memory controller. For the hard memory controller, you can get the DQ/ groups from the pin table of the specific device at Cyclone V Device -Out Files page. The numbers are preliminary before the devices are available. Member Code D5 Package -pin Micro FineLine BGA 8-pin Micro FineLine BGA Side x8 484-pin Ultra FineLine BGA 484-pin FineLine BGA -pin FineLine BGA 5 8 x

10 - CV-5..8 Member Code D D9 Package 484-pin Micro FineLine BGA Side x8 484-pin Ultra FineLine BGA pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 484-pin Ultra FineLine BGA pin FineLine BGA -pin FineLine BGA 89-pin FineLine BGA 5-pin FineLine BGA x 4 4

11 CV-5..8 DQ/ Groups in Cyclone V SX - Table -8: Number of DQ/ Groups Per Side in Cyclone V SX Devices This table lists the DQ/ groups for the soft memory controller. For the hard memory controller, you can get the DQ/ groups from the pin table of the specific device at Cyclone V Device -Out Files page. The numbers are preliminary before the devices are available. Member Code C C4 C5 C Package -pin Ultra FineLine BGA Side 89-pin FineLine BGA x8 8 5 x DQ/ Groups in Cyclone V ST Table -9: Number of DQ/ Groups Per Side in Cyclone V ST Devices This table lists the DQ/ groups for the soft memory controller. For the hard memory controller, you can get the DQ/ groups from the pin table of the specific device at Cyclone V Device -Out Files page. The numbers are preliminary before the devices are available. Member Code Package Side x8 x D5 D 89-pin FineLine BGA 5 External Memory Interface Features in Cyclone V Devices UniPHY IP The Cyclone V I/O elements () provide built-in functionality required for a rapid and robust implementation of external memory interfacing. The following device features are available for external memory interfaces: phase-shift circuitry PHY Clock (PHYCLK) networks logic block Dynamic on-chip termination (OCT) control registers Delay chain Hard memory controllers The high-performance memory interface solution includes the self-calibrating UniPHY IP that is optimized take advantage of the Cyclone V I/O structure and the Quartus II software TimeQuest Timing Analyzer.

12 - CV-5..8 The UniPHY IP helps set up the physical interface (PHY) best suited for your system. This provides the tal solution for the highest reliable frequency of operation across process, voltage, and temperature (PVT) variations. The UniPHY IP instantiates a generate related clocks for the memory interface. The UniPHY IP can also dynamically choose the number of delay chains that are required for the system. The amount of delay is equal the sum of the intrinsic delay of the delay element and the product of the number of delay steps and the value of the delay steps. The UniPHY IP and the Altera memory controller MegaCore functions can run at half the I/O interface frequency of the memory devices, allowing better timing management in high-speed memory interfaces. The Cyclone V devices contain built-in circuitry in the convert data from full rate (the I/O frequency) half rate (the controller frequency) and vice versa. For more information about the UniPHY IP, refer the Reference Material volume of the External Memory Interface Handbook. External Memory Interface Datapath The following figure shows an overview of the memory interface datapath that uses the Cyclone V I/O elements. In the figure, the DQ/ read and write signals may be bidirectional or unidirectional, depending on the memory standard. If the signal is bidirectional, it is active during read and write operations. You can bypass each register block. Figure -: External Memory Interface Datapath Overview for Cyclone V Devices FPGA Postamble Circuitry Memory Postamble Clock Postamble Enable Enable Control Circuit Delay Chain Enable Circuit (Read) 4n or n Read FIFO n DDR Input Registers n DQ (Read) 4n Half Data Rate Output Registers n DDR Output and Output Enable Registers n DQ (Write) Clock Management and Reset Full-Rate Clock DQ Write Clock Half-Rate Clock Write Clock 4 Half Data Rate Output Registers DDR Output and Output Enable Registers (Write) Note: There are slight block differences for different memory interface standards. The shaded blocks are part of the I/O elements. Phase-Shift Circuitry The Cyclone V provides phase shift the pins on read transactions if the pins are acting as input clocks or strobes the FPGA.

13 CV-5..8 The following figures show how the s are connected the pins in the various Cyclone V variants. The reference clock for each may come from adjacent s. Figure -: s and s in Cyclone V E (A and A4) Devices - Reference Clock Logic Blocks Reference Clock Logic Blocks Logic Blocks Reference Clock Logic Blocks Reference Clock

14 -4 Figure -: s and s in Cyclone V GX (C) Devices CV-5..8 Reference Clock Logic Blocks Reference Clock Logic Blocks Transceiver Blocks Reference Clock

15 CV-5..8 Figure -4: s and s in Cyclone V E (A5, A, and A9), GX (C4, C5, C, and C9), GT (D5, D, and D9) Devices -5 Reference Clock Logic Blocks Reference Clock Logic Blocks Transceiver Blocks Reference Clock Logic Blocks Reference Clock

16 - Figure -5: s and s in Cyclone V SX (C, C4, C5, and C) and ST (D5 and D) Devices CV-5..8 Reference Clock HPS I/O Logic Blocks HPS HPS Block Transceiver Blocks Logic Blocks Reference Clock Logic Blocks Reference Clock Delay-Locked Loop The delay-locked loop () uses a frequency reference dynamically generate control signals for the delay chains in each of the pins, allowing the delay compensate for process, voltage, and temperature (PVT) variations. The delay settings are Gray-coded reduce jitter if the updates the settings. There are a maximum of four s, located in each corner of the Cyclone V devices. You can clock each using different frequencies. The s can access the two adjacent sides from its location in the device. You can have two different interfaces with the same frequency on the two sides adjacent a, where the controls the delay settings for both interfaces. I/O banks between two s have the flexibility create multiple frequencies and multiple-type interfaces. These banks can use settings from either or both adjacent s. For example, R can get its phase-shift settings from _TR, while R can get its phase-shift settings from _BR. The reference clock for each may come from the output clocks or clock input pins. Note: If you have a dedicated that only generates the input reference clock, set the mode Direct Compensation achieve better performance (or the Quartus II software aumatically

17 CV-5..8 changes it). Because the does not use any other outputs, it does not have compensate for any clock paths. - Reference Clock Input for Cyclone V Devices Table -: Reference Clock Input from s for Cyclone V E (A, A4, A5, A, and A9), GX (C4, C5, C, and C9), and GT (D5, D, and D9) DevicesPreliminary _TL pllout _TR pllout _BL pllout _BR pllout Table -: Reference Clock Input from s for Cyclone V GX (C) DevicePreliminary _TL pllout _TR pllout _BL _BR pllout Table -: Reference Clock Input from s for Cyclone V SX C, C4, C5, and C Devices, and Cyclone V ST D5 and D DevicesPreliminary _TL pllout _TR _BL pllout _BR pllout Phase-Shift The can shift the incoming signals by or 9. The shifted signal is then used as the clock for the DQ input registers, depending on the number of delay chains used.

18 -8 All pins, referenced the same, can have their input signal phase shifted by a different degree amount but all must be referenced at one particular frequency. However, not all phase-shift combinations are supported. The phase shifts on the pins referenced by the same must all be a multiple of 9. The -bit delay settings from the vary with PVT implement the phase-shift delay. For example, with a shift, the signal bypasses both the and logic blocks. The Quartus II software aumatically sets the DQ input delay chains, so that the skew between the DQ and pins at the DQ registers is negligible if a shift is implemented. You can feed the delay settings the logic block and logic array. The shifted signal goes the bus clock the input registers of the DQ pins. The signal can also go in the logic array for resynchronization if you are not using read FIFO for resynchronization. For Cyclone V SoC FPGAs, you can feed the hard processor system (HPS) delay settings the HPS logic block only. The following figure shows a simple block diagram of the in the Cyclone V devices. All features of the phase-shift circuitry are accessible from the UniPHY megafunction in the Quartus II software. Figure -: Simplified Diagram of the Phase-Shift Circuitry CV-5..8 aload Input Reference Clock clk Phase Comparar upndnin upndninclkena Up/Down Counter delay settings can go the logic array and logic block This clock can come from a output clock or an input clock pin Delay Chains delayctrlout [:] Delay Settings dqsupdate The input reference clock goes in the a chain of up eight delay elements. The phase comparar compares the signal coming out of the end of the delay chain block the input reference clock. The phase comparar then issues the upndn signal the Gray-code counter. This signal increments or decrements a -bit delay setting ( delay settings) that increases or decreases the delay through the delay element chain bring the input reference clock and the signals coming out of the delay element chain in phase. The can be reset from either the logic array or a user I/O pin. Each time the is reset, you must wait for,5 clock cycles for the lock before you can capture the data properly. The phase comparar requires,5 clock cycles lock and calculate the correct input clock period. For the frequency range of each frequency mode, refer the Cyclone V Device Datasheet. PHY Clock (PHYCLK) Networks The PHYCLK network is a dedicated high-speed, low-skew balanced clock tree designed for a high-performance external memory interface. The p and botm sides of the Cyclone V devices have up four PHYCLK networks each. There are up two PHYCLK networks on the left and right side I/O banks. Each PHYCLK network spans across one I/O bank and is driven by one of the s located adjacent the I/O bank. The following figures show the PHYCLK networks available in the Cyclone V devices.

19 I/O Bank PHYCLK Networks I/O Bank CV-5..8 Figure -: PHYCLK Networks in Cyclone V E A and A4 Devices -9 I/O Bank 8 I/O Bank PHYCLK Networks FPGA Device PHYCLK Networks I/O Bank 5 I/O Bank PHYCLK Networks I/O Bank I/O Bank 4 Figure -8: PHYCLK Networks in Cyclone V GX C Devices I/O Bank 8 I/O Bank PHYCLK Networks Transceiver Banks FPGA Device PHYCLK Networks I/O Bank 5 I/O Bank PHYCLK Networks I/O Bank I/O Bank 4

20 - Figure -9: PHYCLK Networks in Cyclone V E A, A5, and A9 Devices, Cyclone V GX C4, C5, C, and C9 Devices, and Cyclone V GT D5, D, and D9 Devices CV-5..8 I/O Bank 8 I/O Bank PHYCLK Networks Transceiver Banks FPGA Device PHYCLK Networks I/O Bank 5 I/O Bank PHYCLK Networks I/O Bank I/O Bank 4 Figure -: PHYCLK Networks in Cyclone V SX C, C4, C5, and C Devices, and Cyclone V ST D5 and D Devices I/O Bank 8 PHYCLK Networks I/O Bank Transceiver Banks FPGA Device HPS HPS Block HPS PHYCLK Networks PHYCLK Networks HPS I/O I/O Bank 5 PHYCLK Networks I/O Bank I/O Bank 4 Logic Block Each /CQ/CQn/QK# pin is connected a separate logic block, which consists of the update enable circuitry, delay chains, and postamble circuitry.

21 CV-5..8 The following figure shows the logic block. Figure -: Logic Block in Cyclone V Devices - The dqsenable signal can also come from the FPGA fabric Postamble Enable Postamble Circuitry Enable Control Circuit dqsenablein D Q D Q zerophaseclk (Postamble clock) dqsdisablen enaphasetransferreg dqsin levelingclk (Read-leveled postamble clock) D D Q Q D Q Enable PRE dqsenable dqsenableout <delay dqs enable> Applicable only if the delay settings come from a side with two s Core Logic delay settings from the delay settings from the delayctrlin [:] Bypass delayctrlin [:] D Q This clock can come from a output clock or an input clock pin Delay Chain dqsin dqsupdateen Input Reference Clock <dqs delay chain bypass> Update Enable Circuitry dqsbusout Update Enable Circuitry The update enable circuitry enables the registers allow enough time for the delay settings travel from the phase-shift circuitry or core logic all the logic blocks before the next change. Both the delay settings and the phase-offset settings pass through a register before going in the delay chains. The registers are controlled by the update enable circuitry allow enough time for any changes in the delay setting bits arrive at all the delay elements, which allows them be adjusted at the same time. The circuitry uses the input reference clock or a user clock from the core generate the update enable output. The UniPHY intellectual property (IP) uses this circuit by default. Figure -: Update Enable Waveform This figure shows an example waveform of the update enable circuitry output. Counter Update (Every 8 cycles) Counter Update (Every 8 cycles) System Clock Delay Settings Updated every 8 cycles Update Enable Circuitry Output bit Delay Chain delay chains consist of a set of variable delay elements allow the input signals be shifted by the amount specified by the phase-shift circuitry or the logic array. There are two delay elements in the delay chain that have the same characteristics: Delay elements in the logic block Delay elements in the The pin is shifted by the delay settings.

22 - CV-5..8 The number of delay chains required is transparent because the UniPHY IP aumatically sets it when you choose the operating frequency. In Cyclone V E, GX, and GT devices, if you do not use the control the delay chains, you can input your own Gray-coded bit settings using the delayctrlin[..] signals available in the UniPHY IP. In the Cyclone V SE, SX, and ST devices, the delay chain is controlled by the phase-shift circuitry only. Postamble Circuitry There are preamble and postamble specifications for both read and write operations in DDR and DDR SDRAM. The postamble circuitry ensures that data is not lost if there is noise on the line during the end of a read operation that occurs while is in a postamble state. The Cyclone V devices contain dedicated postamble registers that you can control ground the shifted signal that is used clock the DQ input registers at the end of a read operation. This function ensures that any glitches on the input signal during the end of a read operation and occurring while is in a postamble state do not affect the DQ registers. For preamble state, the is low, just after a high-impedance state. For postamble state, the is low, just before it returns a high-impedance state. For external memory interfaces that use a bidirectional read strobe (DDR and DDR SDRAM), the signal is low before going or coming from a high-impedance state. Half Data Rate Block The Cyclone V devices contain a half data rate (HDR) block in the postamble enable circuitry. The HDR block is clocked by the half-rate resynchronization clock, which is the output of the I/O clock divider circuit. There is an AND gate after the postamble register outputs avoid postamble glitches from a previous read burst on a non-consecutive read burst. This scheme allows half-a-clock cycle latency for dqsenable assertion and zero latency for dqsenable deassertion. Using the HDR block as the first stage capture register in the postamble enable circuitry block is optional. Altera recommends using these registers if the controller is running at half the frequency of the I/Os. Figure -: Avoiding Glitch on a Non-Consecutive Read Burst Waveform This figure shows how avoid postamble glitches using the HDR block. Postamble glitch Postamble Preamble Postamble Enable dqsenable Delayed by /T logic

23 CV-5..8 Dynamic OCT Control The dynamic OCT control block includes all the registers that are required dynamically turn the on-chip parallel termination (R T OCT) on during a read and turn R T OCT off during a write. Figure -4: Dynamic OCT Control Block for Cyclone V Devices - OCT Control Path OCT Control D Q DFF D Q DFF OCT Control D Q DFF D Q DFF OCT Enable OCT Half-Rate Clock Write Clock The full-rate write clock comes from the. The DQ write clock and write clock have a 9 offset between them For more information about dynamic OCT control, refer the I/O Features in Cyclone V Devices chapter. Registers The registers are expanded allow source-synchronous systems have faster register--fifo transfers and resynchronization. All p, botm, and right s have the same capability. Input Registers The input path consists of the DDR input registers and the read FIFO block. You can bypass each block of the input path. There are three registers in the DDR input registers block. Registers A and B capture data on the positive and negative edges of the clock while register C aligns the captured data. Register C uses the same clock as Register A. The read FIFO block resynchronizes the data the system clock domain and lowers the data rate half rate. The following figure shows the registers available in the Cyclone V input path. For DDR and DDR SDRAM interfaces, the and n signals must be inverted. If you use Altera s memory interface IPs, the and n signals are aumatically inverted.

24 - Figure -5: Input Registers for Cyclone V Devices CV-5..8 DQ Double Data Rate Input Registers D Q datain [] dataout[..] To core The input clock can be from the logic block or from a global clock line. /CQ DDFF Q Input Reg A D Q DFF Input Reg B D Q DFF Input Reg C datain [] wrclk Read FIFO rdclk This half-rate or full-rate read clock comes from a through the clock network Half-rate or full-rate clock Output Registers The Cyclone V output and output-enable path is divided in the HDR block, and output and output-enable registers. The device can bypass each block of the output and output-enable path. The output path is designed route combinarial or registered single data rate (SDR) outputs and full-rate or half-rate DDR outputs from the FPGA core. Half-rate data is converted full-rate with the HDR block, clocked by the half-rate clock from the. The output-enable path has a structure similar the output pathensuring that the output-enable path goes through the same delay and latency as the output path.

25 CV-5..8 Figure -: Output and Output-Enable Path Registers for Cyclone V Devices -5 The following figure shows the registers available in the Cyclone V output and output-enable paths. Data coming from the FPGA core are at half the frequency of the memory interface clock frequency in half-rate mode From Core From Core Half Data Rate Single Data Rate Output-Enable Registers D D DFF DFF Q Q D DFF Q OE Reg A OE D DFF Q Double Data Rate Output-Enable Registers OR OE Reg B OE From Core (wdata) Half Data Rate Single Data Rate Output Registers D DFF Q D Q DFF Double Data Rate Output Registers TRI DQ or From Core (wdata) D Q OE Reg A O DFF From Core (wdata) D Q DFF D DFF Q From Core (wdata) D Q OE Reg B O DFF Half-Rate Clock from Write Clock The full-rate write clock can come from the. The DQ write clock have a 9 offset the write clock. Delay Chain The Cyclone V devices contain run-time adjustable delay chains in the I/O blocks and the logic blocks. You can control the delay chain setting through the I/O or the configuration block output. Every I/O block contains a delay chain between the following elements: The output registers and output buffer The input buffer and input register The output enable and output buffer The R T OCT enable-control register and output buffer You can bypass the delay chain achieve a phase shift.

26 - Figure -: Delay Chains in an I/O Block CV-5..8 OCT Enable Output Enable D5 OCT delay chain D5 output-enable delay chain DQ or D5 Delay delay chain D Delay delay chain Each logic block contains a delay chain after the dqsbusout output and another delay chain before the dqsenable input. Figure -8: Delay Chains in the Input Path Enable dqsin dqsenable delay chain D4 delay chain dqsbusout T delay chain Enable Control I/O and Configuration Blocks The I/O and configuration blocks are shift registers that you can use dynamically change the settings of various device configuration bits. The shift registers power-up low. Every I/O pin contains one I/O configuration register. Every pin contains one configuration block in addition the I/O configuration register.

27 CV-5..8 Figure -9: Configuration Block (I/O and ) - This figure shows the I/O configuration block and the configuration block circuitry. MSB bit bit bit datain update ena rankselectread rankselectwrite dataout clk For details about the I/O and configuration block bit sequence, refer the ALTDQ_ Megafunction User Guide. Hard Memory Controller The Cyclone V devices feature dedicated hard memory controllers. You can use the hard memory controllers for LPDDR, DDR, and DDR SDRAM interfaces. Compared the memory controllers implemented using core logic, the hard memory controllers allow support for higher memory interface frequencies with shorter latency cycles. The hard memory controllers use dedicated I/O pins as data, address, command, control, and clock pins for the SDRAM interface. If you do not use the hard memory controllers, you can use these dedicated pins as regular I/O pins. The hard memory controller is functionally similar the High-Performance Controller II (HPC II). For more information, refer the Functional DescriptionHPC II Controller chapter of the External Memory Interface Handbook. For detailed information about application of the hard memory interface, refer the Functional DescriptionHard Memory Interface chapter of the External Memory Interface Handbook. Features of the Hard Memory Controller Table -: Features of the Cyclone V Hard Memory Controller Feature Description Memory Interface Data Width Memory Density Memory Burst Length 8,, and bit data bit data + 8 bit ECC bit data + 8bit ECC The controller supports up four gigabits density parts and two chip selects. DDRBurst length of 8 and burst chop of 4 DDRBurst lengths of 4 and 8 LPDDRBurst lengths of, 4, 8, and

28 -8 CV-5..8 Feature Command and Data Reordering Starvation Control User-Configurable Priority Support Avalon -MM Data Slave Local Interface Bank Management Streaming Reads and Writes Bank Interleaving Predictive Bank Management Multiport Interface Built-in Burst Adapr Run-time Configuration of the Controller On-Die Termination User-Controlled Refresh Timing Low Power Modes Partial Array Self-Refresh Description The controller increases efficiency through the support for out-of-order execution of DRAM commandswith address collision detection-and in-order return of results. A starvation counter ensures that all requests are served after a predefined time-out period. This function ensures that data with low priority access are not left behind when reordering data for efficiency. When the controller detects a high priority request, it allows the request bypass the current queuing request. This request is processed immediately and thus reduces latency. By default, the controller supports the Avalon Memory-Mapped procol. By default, the controller provides closed-page bank management on every access. The controller intelligently keeps a row open based on incoming traffic. This feature improves the efficiency of the controller especially for random traffic. The controller can issue reads or writes continuously sequential addresses every clock cycle if the bank is open. This function allows for very high efficiencies with large amounts of data. The controller can issue reads or writes continuously 'random' addresses. The controller can issue bank management commands early so that the correct row is open when the read or write occurs. This increases efficiency. The interface allows you connect up six data masters access the memory controller through the local interface. You can update the multiport scheduling configuration without interrupting traffic on a port. The controller can accept bursts of arbitrary sizes on its local interface and map these bursts efficient memory commands. This feature provides support for updates the timing parameters without requiring reconfiguration of the FPGA, apart from the standard compile-time setting of the timing parameters. The controller controls the on-die termination (ODT) in the memory, which improves signal integrity and simplifies your board design. You can optionally control when refreshes occurallowing the refreshes avoid clashing of important reads or writes with the refresh lock-out time. You can optionally request the controller put the memory in the self-refresh or deep power-down modes. You can select the region of memory refresh during self-refresh through the mode register save power.

29 CV Feature Description ECC Additive Latency Write Acknowledgment Standard Hamming single error correction, double error detection (SECDED) error correction code (ECC) support: bit data + 8 bit ECC bit data + 8 bit ECC With additive latency, the controller can issue a READ/WRITE command after the ACTIVATE command the bank prior t RCD increase the command efficiency. The controller supports write acknowledgment on the local interface. User Control of Memory Controller Initialization The controller supports initialization of the memory controller under the control of user logicfor example, through the software control in the user system if a processor is present. Controller Bonding Support You can bond two controllers achieve wider data width for higher bandwidth applications. Multi-Port Front End The multi-port front end (MPFE) and its associated fabric interface provide up six command ports, four read-data ports and four write-data ports, through which user logic can access the hard memory controller. Figure -: Simplified Diagram of the Cyclone V Hard Memory Interface This figure shows a simplified diagram of the Cyclone V hard memory interface with the MPFE. Hard Memory Controller FPGA FPGA Core Logic MPFE Memory Controller PHY Memory Avalon-MM Interface AFI Bonding Support You can bond two hard memory controllers support wider data widths. When you bond two hard memory controllers, the data going out of the controllers the user logic is synchronized. However, the data going out of the controllers the memory is not synchronized. The bonding controllers are not synchronized and remain independent with two separate address buses and two independent command buses. These buses are calibrated separately. If you require ECC support for a bonded interface, you must implement the ECC logic external the hard memory controllers. Note: A memory interface that uses the bonding feature has higher average latency. Bonding through the core fabric will also cause a higher latency.

30 - CV-5..8 Figure -: Hard Memory Controllers Bonding Support in Cyclone V E A, A5, and A9 Devices, Cyclone V GX C4, C5, C, and C9 Devices, and Cyclone V GT D5, D, and D9 Devices This figure shows the bonding of two opposite hard memory controllers through the core fabric. The botm hard memory controller is not supported in the Cyclone V GX C5 device for the./. V configuration. Bank 8A Bank A Hard Memory Controller Bonding (Core Routing) Hard Memory Controller Bank A Bank B Bank 4A

31 CV-5..8 Figure -: Hard Memory Controllers in Cyclone V SX C, C4, C5, and C Devices, and Cyclone V ST D5 and D Devices This figure shows hard memory controllers in the SoC FPGAs. There is no bonding support. - Bank 8A HPS I/O HPS Block HPS Hard Memory Controller Bank 5 HPS I/O -bit DDR Interface Hard Memory Controller Bank A Bank B Bank 4A -bit Interface For more information about the dedicated pins, refer the Cyclone V Device Family Connection Guidelines. Hard Memory Controller Width for Cyclone V E Table -4: Hard Memory Controller Width Per Side in Cyclone V E DevicesPreliminary Member Code Package A A4 A5 A A9 M8 M484 F5 U U484 F F F

32 - Hard Memory Controller Width for Cyclone V GX CV-5..8 Table -5: Hard Memory Controller Width Per Side in Cyclone V GX DevicesPreliminary Member Code Package C C4 C5 C C9 M M8 M484 U U484 F F F F5 4 4 Hard Memory Controller Width for Cyclone V GT Table -: Hard Memory Controller Width Per Side in Cyclone V GT DevicesPreliminary Member Code Package D5 D D9 M M8 M484 U484 F F F F5 4 4

33 CV-5..8 Hard Memory Controller Width for Cyclone V SX - Table -: Hard Memory Controller Width Per Side in Cyclone V SX DevicesPreliminary Member Code Package C C4 C5 C U F Hard Memory Controller Width for Cyclone V ST Table -8: Hard Memory Controller Width Per Side in Cyclone V ST DevicesPreliminary Member Code Package D5 D F Document Revision Hisry Date December Version..8 Changes Reorganized content and updated template. Added a list of supported external memory interface standards using the hard memory controller and soft memory controller. Added performance information for external memory interfaces and the HPS external memory interfaces. Separated the DQ/ groups tables in separate pics for each device variant for easy reference. Updated the DQ/ numbers and device packages for the Cyclone V E, GX, GT, SX, and ST variants. Moved the PHYCLK networks pin placement guideline the Planning and FPGA Resources chapter of the External Memory Interface Handbook. Moved information from the "Design Considerations" section in relevant pics. Removed the "DDR SDRAM Interface" and "DDR SDRAM DIMM" sections. Refer the relevant sections in the External Memory Interface Handbook for the information. Added the I/O and configuration blocks pic. Updated the term "Multiport logic" "multi-port front end" (MPFE). Added information about the hard memory controller interface widths for the Cyclone V E, GX, GT, SX, and ST variants.

34 -4 CV-5..8 Date June February November Ocber Version.... Changes Updated for the Quartus II software v. release: Restructured chapter. Updated Design Considerations, Postamble Circuitry, and Registers sections. Added SoC devices information. Added Figure 5, Figure, and Figure. Updated Figure. Minor text edits. Updated Table. Added Figure. Initial release.

External Memory Interfaces in Cyclone V Devices

External Memory Interfaces in Cyclone V Devices .. CV- Subscribe The Cyclone V devices provide an efficient architecture that allows you fit wide external memory interfaces support a high level of system bandwidth within the small modular I/O bank structure.

More information

External Memory Interfaces in Arria V Devices

External Memory Interfaces in Arria V Devices External Memory Interfaces in Arria V evices 3.5.6 AV-5 Subscribe The Arria V devices provide an efficient architecture that allows you to fit wide external memory interfaces to support a high level of

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

7. External Memory Interfaces in Stratix IV Devices

7. External Memory Interfaces in Stratix IV Devices February 2011 SIV51007-3.2 7. External Memory Interfaces in Stratix IV evices SIV51007-3.2 This chapter describes external memory interfaces available with the Stratix IV device family and that family

More information

7. External Memory Interfaces in Arria II Devices

7. External Memory Interfaces in Arria II Devices ecember 2010 AIIGX51007-4.0 7. External Memory Interfaces in Arria II evices AIIGX51007-4.0 This chapter describes the hardware features in Arria II devices that facilitate high-speed memory interfacing

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Functional Description Hard Memory Interface

Functional Description Hard Memory Interface 3 emi_rm_003 Subscribe The hard (on-chip) memory interface components are available in the Arria V and Cyclone V device families. The Arria V device family includes hard memory interface components supporting

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide 2017.05.08 UG-01089 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and

More information

7. External Memory Interfaces in HardCopy III Devices

7. External Memory Interfaces in HardCopy III Devices March 2012 HIV51007-2.2 7. External Memory Interfaces in HardCopy III evices HIII51007-3.2 This chapter describes the hardware features that support high-speed memory interfacing for each double data rate

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

7. External Memory Interfaces in HardCopy IV Devices

7. External Memory Interfaces in HardCopy IV Devices March 2012 HIII51007-3.1 7. External Memory Interfaces in HardCopy IV evices HIV51007-2.3 This chapter describes the hardware features that support high-speed memory interfacing for each double data rate

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines

AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN 756: Altera GPIO to Altera PHYLite Design Implementation Guidelines AN-756 2017.05.08 Subscribe Send Feedback Contents Contents 1...3 1.1 Implementing the Altera PHYLite Design... 3 1.1.1 Parameter

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices

AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices AN 610: Implementing Deterministic Latency for CPRI and OBSAI Protocols in Altera Devices July 2010 AN-610-1.0 This application note describes how to implement deterministic latency for Common Public Radio

More information

Intel Stratix 10 Analog to Digital Converter User Guide

Intel Stratix 10 Analog to Digital Converter User Guide Intel Stratix 10 Analog to Digital Converter User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices

4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices January 2011 HIV51004-2.2 4. TriMatrix Embedded Memory Blocks in HardCopy IV Devices HIV51004-2.2 This chapter describes TriMatrix memory blocks, modes, features, and design considerations in HardCopy

More information

Implementing 9.8G CPRI in Arria V GT and ST FPGAs

Implementing 9.8G CPRI in Arria V GT and ST FPGAs 03..06 AN 686 Subscribe This application note describes the implementation of 9.8304 Gbps Common Public Radio Interface (CPRI) using the Arria V GT and Arria V ST FPGA transceivers. The hard physical coding

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

Nios II Performance Benchmarks

Nios II Performance Benchmarks Subscribe Performance Benchmarks Overview This datasheet lists the performance and logic element (LE) usage for the Nios II Classic and Nios II Gen2 soft processor, and peripherals. Nios II is configurable

More information

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices

Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Using the Transceiver Reconfiguration Controller for Dynamic Reconfiguration in Arria V and Cyclone V Devices Subscribe Feedback The Altera Transceiver Reconfiguration Controller dynamically reconfigures

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices

8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices June 015 SIV51008-3.5 8. High-Speed Differential I/O Interfaces and DPA in Stratix IV Devices SIV51008-3.5 This chapter describes the significant advantages of the high-speed differential I/O interfaces

More information

ZBT SRAM Controller Reference Design

ZBT SRAM Controller Reference Design ZBT SRAM Controller Reference Design for APEX II Devices December 2001, ver. 1.0 Application Note 183 Introduction As communication systems require more low-latency, high-bandwidth interfaces for peripheral

More information

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction AN 462: Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction April 2009 AN-462-1.3 Introduction Many systems and applications use external memory interfaces as data storage or buffer

More information

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction

Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction Implementing Multiple Memory Interfaces Using the ALTMEMPHY Megafunction May 2008, v.1.2 Introduction Application Note 462 Many systems and applications use external memory interfaces as data storage or

More information

9. Functional Description Example Designs

9. Functional Description Example Designs November 2012 EMI_RM_007-1.3 9. Functional Description Example Designs EMI_RM_007-1.3 This chapter describes the example designs and the traffic generator. Two independent example designs are created during

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide 2015.05.04 Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 Subscribe The Altera IOPLL megafunction IP core allows you to configure the settings of Arria 10 I/O PLL. Altera IOPLL

More information

Errata Sheet for Cyclone IV Devices

Errata Sheet for Cyclone IV Devices Errata Sheet for Cyclone IV Devices ES-01027-2.3 Errata Sheet This errata sheet provides updated information on known device issues affecting Cyclone IV devices. Table 1 lists specific Cyclone IV issues,

More information

Intel Stratix 10 Clocking and PLL User Guide

Intel Stratix 10 Clocking and PLL User Guide Intel Stratix 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 Clocking

More information

Simulating the ASMI Block in Your Design

Simulating the ASMI Block in Your Design 2015.08.03 AN-720 Subscribe Supported Devices Overview You can simulate the ASMI block in your design for the following devices: Arria V, Arria V GZ, Arria 10 Cyclone V Stratix V In the Quartus II software,

More information

Stratix II FPGA Family

Stratix II FPGA Family October 2008, ver. 2.1 Errata Sheet Introduction This errata sheet provides updated information on Stratix II devices. This document addresses known device issues and includes methods to work around the

More information

9. SEU Mitigation in Cyclone IV Devices

9. SEU Mitigation in Cyclone IV Devices 9. SEU Mitigation in Cyclone IV Devices May 2013 CYIV-51009-1.3 CYIV-51009-1.3 This chapter describes the cyclical redundancy check (CRC) error detection feature in user mode and how to recover from soft

More information

6. I/O Features in Stratix IV Devices

6. I/O Features in Stratix IV Devices 6. I/O Features in Stratix IV Devices September 2012 SIV51006-3.4 SIV51006-3.4 This chapter describes how Stratix IV devices provide I/O capabilities that allow you to work in compliance with current and

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

Arria 10 Transceiver PHY User Guide

Arria 10 Transceiver PHY User Guide Arria 10 Transceiver PHY User Guide Subscribe UG-A10XCVR 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Arria 10 Transceiver PHY User Guide Contents Arria 10 Transceiver PHY Overview...1-1

More information

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide

External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide External Memory Interfaces Intel Cyclone 10 GX FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. External Memory

More information

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide

Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Intel FPGA PHYLite for Parallel Interfaces IP Core User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents...3 Device

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix 10 I/O

More information

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_PLAN_PIN-3.0 Document

More information

External Memory Interface Handbook

External Memory Interface Handbook External Memory Interface Handbook Volume 3: Reference Material Last updated for Altera Complete Design Suite: 14.1 Subscribe EMI_RM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 External

More information

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide

Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Intel Stratix 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

External Memory Interfaces Intel Arria 10 FPGA IP User Guide

External Memory Interfaces Intel Arria 10 FPGA IP User Guide External Memory Interfaces Intel Arria 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler May 2006, Compiler Version 3.3.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1.

More information

Altera ASMI Parallel II IP Core User Guide

Altera ASMI Parallel II IP Core User Guide Altera ASMI Parallel II IP Core User Guide UG-20068 2017.05.08 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents 1... 3 1.1 Ports...4 1.2 Parameters... 5

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler August 2007, Compiler Version 7.1 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version

More information

9. Hot Socketing and Power-On Reset in Stratix IV Devices

9. Hot Socketing and Power-On Reset in Stratix IV Devices February 2011 SIV51009-3.2 9. Hot Socketing and Power-On Reset in Stratix IV Devices SIV51009-3.2 This chapter describes hot-socketing specifications, power-on reset (POR) requirements, and their implementation

More information

Intel Stratix 10 General Purpose I/O User Guide

Intel Stratix 10 General Purpose I/O User Guide Intel Stratix 10 General Purpose I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 I/O

More information

13. HardCopy Design Migration Guidelines

13. HardCopy Design Migration Guidelines November 2012 EMI_DG_012-2.2 13. HardCopy Design Migration Guidelines EMI_DG_012-2.2 This chapter discusses HardCopy migration guidelines or UniPHY-based designs. I you want to migrate your ALTMEMPHY-based

More information

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices

Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices Implementing Bus LVDS Interface in Cyclone III, Stratix III, and Stratix IV Devices November 2008, ver. 1.1 Introduction LVDS is becoming the most popular differential I/O standard for high-speed transmission

More information

Intel Cyclone 10 External Memory Interfaces IP User Guide

Intel Cyclone 10 External Memory Interfaces IP User Guide Intel Cyclone 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Cyclone

More information

Intel Stratix 10 High-Speed LVDS I/O User Guide

Intel Stratix 10 High-Speed LVDS I/O User Guide Intel Stratix 10 High-Speed LVDS I/O User Guide Updated for Intel Quartus Prime Design Suite: 18.1 Subscribe Latest document on the web: PDF HTML Contents Contents 1. Intel Stratix 10 High-Speed LVDS I/O

More information

ALTDQ_DQS2 IP Core User Guide

ALTDQ_DQS2 IP Core User Guide UG-189 Subscribe The Altera ALTDQ_DQS2 megafunction IP core controls the double data rate (DDR) I/O elements (IOEs) for the data (DQ) and data strobe (DQS) signals in Arria V, Cyclone V, and Stratix V

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.8 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Using Flexible-LVDS Circuitry in Mercury Devices

Using Flexible-LVDS Circuitry in Mercury Devices Using Flexible-LVDS Circuitry in Mercury Devices November 2002, ver. 1.1 Application Note 186 Introduction With the ever increasing demand for high bandwidth and low power consumption in the telecommunications

More information

Recommended Protocol Configurations for Stratix IV GX FPGAs

Recommended Protocol Configurations for Stratix IV GX FPGAs Recommended Protocol s for Stratix IV GX FPGAs AN-577-3.0 Application Note The architecture of the Altera Stratix IV GX FPGA is designed to accommodate the widest range of protocol standards spread over

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

4K Format Conversion Reference Design

4K Format Conversion Reference Design 4K Format Conversion Reference Design AN-646 Application Note This application note describes a 4K format conversion reference design. 4K resolution is the next major enhancement in video because of the

More information

ASMI Parallel II Intel FPGA IP Core User Guide

ASMI Parallel II Intel FPGA IP Core User Guide ASMI Parallel II Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1.... 3 1.1. Ports...4 1.2.

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide

Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Intel Cyclone 10 External Memory Interfaces IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices

3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices July 2014 SIV53004-2014.07.09 3. ALTGX_RECONFIG IP Core User Guide for Stratix IV Devices SIV53004-2014.07.09 This document describes how to define and instantiate the ALTGX_RECONFIG IP core using the

More information

11. SEU Mitigation in Stratix IV Devices

11. SEU Mitigation in Stratix IV Devices 11. SEU Mitigation in Stratix IV Devices February 2011 SIV51011-3.2 SIV51011-3.2 This chapter describes how to use the error detection cyclical redundancy check (CRC) feature when a Stratix IV device is

More information

100G Interlaken MegaCore Function User Guide

100G Interlaken MegaCore Function User Guide 00G Interlaken MegaCore Function User Guide Subscribe UG-028 05.06.203 0 Innovation Drive San Jose, CA 9534 www.altera.com TOC-2 00G Interlaken MegaCore Function User Guide Contents About This MegaCore

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required PCB layout

More information

Using Flexible-LVDS I/O Pins in

Using Flexible-LVDS I/O Pins in Using Flexible-LVDS I/O Pins in APEX II Devices August 2002, ver. 1.1 Application Note 167 Introduction Recent expansion in the telecommunications market and growth in Internet use have created a demand

More information

6. I/O Features in Arria II Devices

6. I/O Features in Arria II Devices 6. I/O Features in Arria II Devices December 2011 AIIGX51006-4.2 AIIGX51006-4.2 This chapter describes how Arria II devices provide I/O capabilities that allow you to work in compliance with current and

More information

EFEC20 IP Core. Features

EFEC20 IP Core. Features EFEC20 IP Core DS-1034-1.2 Data Sheet The Altera 20% Enhanced Forward Error Correction (EFEC20) IP core includes a highperformance encoder and decoder for Optical Transport Network (OTN) FEC applications.

More information

POS-PHY Level 4 MegaCore Function

POS-PHY Level 4 MegaCore Function POS-PHY Level 4 MegaCore Function November 2004, MegaCore Version 2.2.2 Errata Sheet Introduction This document addresses known errata and documentation changes for version v2.2.2 of the POS-PHY Level

More information

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide

External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide External Memory Interfaces Intel Arria 10 FPGA IP Design Example User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents

More information

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide

External Memory Interfaces Intel Stratix 10 FPGA IP User Guide External Memory Interfaces Intel Stratix 10 FPGA IP User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. External

More information

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide

SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide SERDES Transmitter/Receiver (ALTLVDS) Megafunction User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Software Version: 8.1 Document Version: 4.0 Document Date: November 2008 UG-MF9504-4.0

More information

Dynamic Reconfiguration of PMA Controls in Stratix V Devices

Dynamic Reconfiguration of PMA Controls in Stratix V Devices Dynamic Reconfiguration of PMA Controls in Stratix V Devices AN-645-1.0 Application Note This application note describes how to use the transceiver reconfiguration controller to dynamically reconfigure

More information

System Debugging Tools Overview

System Debugging Tools Overview 9 QII53027 Subscribe About Altera System Debugging Tools The Altera system debugging tools help you verify your FPGA designs. As your product requirements continue to increase in complexity, the time you

More information

MAX 10 FPGA Device Overview

MAX 10 FPGA Device Overview 2016.05.02 M10-OVERVIEW Subscribe MAX 10 devices are single-chip, non-volatile low-cost programmable logic devices (PLDs) to integrate the optimal set of system components. The highlights of the MAX 10

More information

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices

AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN 558: Implementing Dynamic Reconfiguration in Arria II Devices AN-558-3.1 Application Note This application note describes how to use the dynamic reconfiguration feature and why you may want use this

More information

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide

Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide Error Correction Code (ALTECC_ENCODER and ALTECC_DECODER) Megafunctions User Guide 11 Innovation Drive San Jose, CA 95134 www.altera.com Software Version 8. Document Version: 2. Document Date: June 28

More information

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices

SerialLite III Streaming IP Core Design Example User Guide for Intel Arria 10 Devices IP Core Design Example User Guide for Intel Arria 10 Devices Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Quick Start

More information

13. Power Management in Stratix IV Devices

13. Power Management in Stratix IV Devices February 2011 SIV51013-3.2 13. Power Management in Stratix IV Devices SIV51013-3.2 This chapter describes power management in Stratix IV devices. Stratix IV devices oer programmable power technology options

More information

Quartus II Software Version 10.0 SP1 Device Support

Quartus II Software Version 10.0 SP1 Device Support Quartus II Software Version 10.0 SP1 Device Support RN-01057 Release Notes This document provides late-breaking information about device support in the 10.0 SP1 version of the Altera Quartus II software.

More information

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2)

Stratix FPGA Family. Table 1 shows these issues and which Stratix devices each issue affects. Table 1. Stratix Family Issues (Part 1 of 2) January 2007, ver. 3.1 Errata Sheet This errata sheet provides updated information on Stratix devices. This document addresses known issues and includes methods to work around the issues. Table 1 shows

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

High-Performance FPGA PLL Analysis with TimeQuest

High-Performance FPGA PLL Analysis with TimeQuest High-Performance FPGA PLL Analysis with TimeQuest August 2007, ver. 1.0 Application Note 471 Introduction f Phase-locked loops (PLLs) provide robust clock management and clock synthesis capabilities for

More information

5. Clock Networks and PLLs in Stratix IV Devices

5. Clock Networks and PLLs in Stratix IV Devices September 2012 SIV51005-3.4 5. Clock Networks and PLLs in Stratix IV Devices SIV51005-3.4 This chapter describes the hierarchical clock networks and phase-locked loops (PLLs) which have advanced features

More information

Generic Serial Flash Interface Intel FPGA IP Core User Guide

Generic Serial Flash Interface Intel FPGA IP Core User Guide Generic Serial Flash Interface Intel FPGA IP Core User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Generic

More information

Intel Stratix 10 External Memory Interfaces IP User Guide

Intel Stratix 10 External Memory Interfaces IP User Guide Intel Stratix 10 External Memory Interfaces IP User Guide Updated for Intel Quartus Prime Design Suite: 17.1 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1 Intel Stratix

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide

Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Configuration via Protocol (CvP) Implementation in Altera FPGAs User Guide Subscribe UG-01101 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Configuration via Protocol (CvP) Implementation

More information

DDR & DDR2 SDRAM Controller Compiler

DDR & DDR2 SDRAM Controller Compiler DDR & DDR2 SDRAM Controller Compiler march 2007, Compiler Version 7.0 Errata Sheet This document addresses known errata and documentation issues for the DDR and DDR2 SDRAM Controller Compiler version 7.0.

More information

Section I. Cyclone II Device Family Data Sheet

Section I. Cyclone II Device Family Data Sheet Section I. Cyclone II Device Family Data Sheet This section provides provides information for board layout designers to successfully layout their boards for Cyclone II devices. It contains the required

More information

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide

Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide Altera I/O Phase-Locked Loop (Altera IOPLL) IP Core User Guide UG-01155 2017.06.16 Last updated for Intel Quartus Prime Design Suite: 17.0 Subscribe Send Feedback Contents Contents...3 Device Family Support...

More information

Intel MAX 10 Clocking and PLL User Guide

Intel MAX 10 Clocking and PLL User Guide Intel MAX 10 Clocking and PLL User Guide Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Intel MAX 10 Clocking and PLL

More information

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current

AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current AN 547: Putting the MAX II CPLD in Hibernation Mode to Achieve Zero Standby Current January 2009 AN-547-10 Introduction To save power, the MAX II CPLD can be completely powered down into hibernation mode

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller October 2005, Compiler Version 3.3.0 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.0 contain the following information: System

More information