REFERENCED BIT RESERVE SCHEME WITH BIT MASKING FOR TEST DATA COMPRESSION FOR IP EMBEDDED CORES

Size: px
Start display at page:

Download "REFERENCED BIT RESERVE SCHEME WITH BIT MASKING FOR TEST DATA COMPRESSION FOR IP EMBEDDED CORES"

Transcription

1 International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 8, August 2017, pp , Article ID: IJMET_08_08_060 Available online at ISSN Print: and ISSN Online: IAEME Publication Scopus Indexed REFERENCED BIT RESERVE SCHEME WITH BIT MASKING FOR TEST DATA COMPRESSION FOR IP EMBEDDED CORES Chakrapani K, Muthaiah R School of Computing, SASTRA University, Thanjavur, Tamil Nadu, India ABSTRACT As innovation procedures scale up and plan complexities develop, framework onchip incorporation keeps on rising quickly. As indicated by these patterns, expanding test information volume is viewed as a potential overhead in the testing business. In this paper, another test information Compression technique based on Referenced Bit Reserve Scheme with Bit Masking (RBR) Code is displayed. The essential motivation of Bit-Mask is to make a record of confounded qualities and their respective positions to pack unique number of guidelines; this could be utilized only or joined along with the reference directions for unraveling the code words. In this work, a reference set with variable veil numbers are connected, which were used with the RBR calculation to decrease the code word length with increased recurrence directions. Additionally, a novel RBR choice calculation was proposed to expand the guideline coordinate rates. For this procedure, an RBR calculation is intended to lessen the calculation time of encoding calculation. This coding strategy encodes 2n perfect or contrarily better instance into just one test data portion or multiple experimental data portions. The recreation result demonstrates that the compression ratio and calculation time is decreased. From the analysis of Experimental inferences indicate that the propounded RBR technique enhances a compression ratio and a test time on International Symposium on Circuits and Systems '89 circuits in multifarious cases compared to the inferences of the previous work without a substantial burden on the hardware. Key words: Intellectual Property, System On Chip, Run-length-based codes, Word reference codes, Actual codes. Cite this Article: Chakrapani K, Muthaiah R, Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores, International Journal of Mechanical Engineering and Technology, 8(8), 2017, pp INTRODUCTION IP cores are utilized as a part of present SOCs. Examining an SOC comprised of multiple IP cores is exceptionally troublesome in the auxiliary data of the keys may be close to bottom level. Under such circumstances, no other alteration can be connected to the base or their scan editor@iaeme.com

2 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores connectives, and even self-regulating generation of test pattern or defect imitation mechanisms can t be used. In the mid of testing, the cores should be connected with some pre-figured test sets (perhaps very compacted) which are given by the core vendors. Now one compression test information strategy which I based on code appears the perfect decision for decreasing the test information. A single chip constitutes a System On Chip (SoC) which is a whole framework that might comprise of intellectual property (IP) cores. Attributable to the exclusive way of cores and also it is a great trouble to improve the test regularly. Depending on Soc Test system architecture some predefined characterized tests are given to the client by the intellectual property cores. For a particular scheduled testing reason, the dissembling of the core is done by the test wrapper, whereas test access mechanism (TAM) provides the service of a correspondence bus to bus test information from sink to source. Test source gives some vectors related to test, and it could be either off-chip or on-chip. This technique is to apply test designs from the distance that is without a physical contact from automatic test equipment (ATE) and the Test sink can be installed on-chip signature analyzer or off-chip automatic test equipment that will act as a source to yield examination with put away reaction. Here that is in this work, there is an arrangement by the test vectors which is provided by the intellectual property core in which a test is mentioned indirectly, and also there is an acceptance in which every core should have at least one test. This paper is organized as follows: Introduction Previous Research Proposed Testing Architecture - Reference Bit Reserve (RBR) Algorithm - Outcome and Discussion Conclusion 2. PREVIOUS RESEARCH In the previous one decade, specialists have proposed diverse testing techniques for VLSI circuits. The written works related to the proposed work have been talked about to a superior degree in this segment. To encode test 3D shapes [1], will Code-based test information compressions utilize the information pressure codes. This process includes packing the first information into images, and afterward replacing every image with a code word to frame the compacted information. With the help of decoder which changes every code-word in the compacted information to the relating image we can achieve the decompression. There are three principle sorts of code based vector compressions. 1. Run-length-based codes 2. Word reference codes 3. Actual codes Jas and Touba have initially given a plan in which run-length codes that are encoded keeps on running of 0 s which utilizes altered stretch code words [2]. Then To abbreviate the length of the codes, Chandra and Chakrabarty given a plan in which Golomb codes [20] that are encoded keeps running of 0 s but with variable-length code words [3]. [4] and [5] also improved the stretch of the codes in view of the likelihood transportation of the length of the run-length. Mostly, If the coding runs with both 0 s and 1 s might be superior when compared to the coding which only keeps running with 0 s [6-9]. As of late, [10] exhibited another example run-length pressure strategy that encoded 2n keeps running of examples that are good or conversely perfect, either inside a solitary test information fragment or over numerous test information portions. At the point when every single conceivable image doesn't happen in the information, then for compression we can the codes which refer the word. A strategy is proposed by the Sismanoglou et al. which is concentrated to upgrade the pressure proportion CR [11] by the reuse of parts of the lexicon sections. Measurable coding editor@iaeme.com

3 Chakrapani K, Muthaiah R doles out longer code words to images that happen less often as possible, and shorter ones to those that happens pretty much of the time. an ideal Huffman coding[18,19] is proposed by the X. Kavousianos et al. which enhanced the CR [12]. Basically there are three sorts of test get to structures. 1. Multiplexing, 2. Conveyance and 3.Daisy-chaindesign. By utilizing these structures, a test transport and Test Rail design. A novel way to deal with lessen the test time of SoC is proposed in [13], which depends on hereditary neighborhood seek calculation. The proposed strategy determines the issue of TAM under the confinement, for example, centre bunch and centre position group. The strategy permits the framework intended to enhance TAM and settles on proper decisions. Another way to deal with decreased test time in view of the data transmission coordinating idea, is underlining the multi-recurrence TAM plan. Utilizing the technique for serialization and de-serialization, a high data transfer capacity source and sink are associated with the low transmission capacity source and sink until transfer speed matches. In the second approach, the issue with the post-silicon approval technique, which has restricted investigate get to transfer speed to get to interior signs, is depicted in [15]. A TAM for the different indistinguishable centers is appeared in [16], which use the uniform way of the centre and applies test at the same time, which minimizes test time for centre under test. In another approach, testing of different indistinguishable centre is done in a manner that, rather than taking run of the mill test reaction information from the centre, it takes a greater part based esteem. The TAM design presented in this work depends on chip comparator and lion's share analyzer. Minimization of the test application time for SOC which it is displayed in[17] can be fastened by the reconfiguration of the numerous sweeps. Integration of the test scheduling and the test access mechanism (TAM) design can considerably increase the TAT. Previously, to attain the optimal test time the flexible-width TAM architecture fully uses the resources. Nevertheless, based on the requirements of test access mechanism assignments, the core layout design may not be modified and to increase the complexity it might need the connectivity of random TAM wires to a core. To get a solution to our problem, test access mechanism design and the arrangement of layout of SOC are combined in this paper. In the same way, from the efficient TAM assignment to cores we can deduce the core structure. Therefore as a consequence of such an ideal solution of SOC cores bifurcation of TAM wires is enabled and also wired in a way such that the chances of getting back this intermittent TAM wires into a single core is outstandingly lowered Novelty of the Work In this study, on the basis of test data compression strategy a new world dictionary (named as a reference set)is shown which gives a remarkable compression to pre-calculated test sets and results in the extensive decrease in testing time. The bit mask based word references are exactly chosen in which the dictionary is skill fully used and these bit mask based word reference uses files which are fixed in length. The propounded strategy prefers to use a small number of ATE channel so that there will be a great reduction in the internal scan chains in the core when tested; By the usage of MISR or some diverged procedures the test reaction can be compressed. This particular approach does not need any different clock cycles as of previous coding methods, to determine the decompressed test design for every end bit of the scan chain from the chip to the ATE. This reference-based approach accordingly diminishes testing time as well as dispenses with the requirement for new handshaking and organization between the SOC and the ATE. This method is along these lines focused on towards a diminished test area overhead and minimized the testing time, where a contracted interface between the analyzer and the SOC is attractive editor@iaeme.com

4 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores 3. PROPOSED TESTING ARCHITECTURE Dictionary based strategies are very normal in the data compression area. While factual strategies utilize a measurable design of the information and according to the frequencies of an event encode the symbols using variable-size code-words, A reference set is set up by selecting the strings of the symbols in dictionary based techniques and later they are encoded into equal size tokens utilizing the reference set. Strings are stored in the reference set, and that can be static or versatile. The proposed test data compression taking into consideration the input data account augmentations and reduction of lines as new information is handled. Fig 1 describes the SOC Testing Architecture. This testing structure consists of ATE, ATPG, and Test Chip along with encoders. The ATPG gives about the test data generation with data compression and also it will generate the all testing vector designs so that it can test usage of irregular era. Figure 1 SOC Testing Architecture 3.1. Isolated Reference Set In specific cases, for example, in little code thickness design, that contains a large number of one of a kind, directions or due to algorithmic attributes, to pack the works a lot many LUT will be needed. An extensive LUT will be having a few impediments: it calls for an expensive chip territory, increased power utilization, a high LUT inertness, and an extensive code-word length. In this way, it is drawing us to decrease the word reference measure. Compacting these high-recurrence guidelines with an equal code-word length from other low-recurrence directions would bring about unskillful compression. To beat this issue and to get shorter code-word lengths these highly obtained directions are isolated into one more additional little reference set. For this Bit-mask approach two LUTs are used. A single directed code is compressed by using substantial LUT, and a remarkable degree of highrecurrence code-words are compressed using small LUTs. The small LUT can be alterable for discarding any of this individual or reference set groupings. The particular word reference established for the proposed design appears in Fig editor@iaeme.com

5 Chakrapani K, Muthaiah R 3.2. Variable Mask Numbers The maximum overhead in this was 13 bits in which 4 bits are for mask,3 bits are used to register the location of the 4-bit fixed mask,2 bits for mask, and 4 bits are used to register the location of the 2-bit fixed mask. By chance if a 4-bit fixed and a 2-bit fixed masks are used then it will yield superior outcomes for the benchmarks. In spite of the fact that the maximum overhead was 13 bits, that half of the directions were condensed to use the 4-bit altered mask in the benchmarks. In addition to this here the 4f mask and the 4f-2f masks are also added, and one of the bits is used to know if any of the code-word uses maybe a couple masks. The encoding organization appears in Table 1, which contains four states, for example, uncompressed code word coordinated with little word reference, coordinated with the expansive reference set, and organized using an inconsistent number of masks. Figure 2 Specific architecture for the proposed design Table 1 Format of encoding for our approach 4. REFERENCE BIT RESERVE (RBR) ALGORITHM According to the representation of the diagram the proposed reference bit determination is computed. The guidelines have been altered to hubs, and the edge between two hubs will give that those two directions are coordinated to one another using the Bit-Mask approach. On the whole, the hubs are distinguished into five different cases according to the recurrence and also the association design. Case a: A high recurrence hub for the most part associated with high recurrence hubs. Case b: A high recurrence hub in the main related to low recurrence hubs editor@iaeme.com

6 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores Case c: A low recurrence hub for the most part associated with high recurrence hubs. Case d: A low recurrence hub in the main related to low recurrence hubs. Case e: A low recurrence hub with a couple of associations. Cases a, b, and d will be the better decisions for the change in CR, and the large amount of reserve can be attained by the Case b hubs. The benefits of Case c hubs are highly restricted since the highly recurrence hubs are chosen from the reference set. In this manner, they are unacceptable for the word reference. The hubs in Case 5 are never chosen in the calculation in the fact that their low recurrence and couple of associations result in the small reserve. Algorithm 1: RBR Input: a.32-bit unique vectors of instruction b.reference set size c. Mask types Output:Optimal reference set Begin Transform ideal code-word to a graph G=(V, E){ if (hubs=bitmask) { Hub i= original size-compressed codewordsx recurrence value-32 bits Edge value i= original size-compressed codewords x recurrence of matched value } Then Reference bit reserve(si) = Calculate the total reserve bits for all hubs Select the profitable node i=i*=arg max f(si) Remove the profitable hub i Insert g to the reference set Delete the remaining edges} Update the hubs and edges} End Input: a.32-bit unique vectors of instruction b.reference set size c. Mask types Output:Optimal reference set Begin Transform ideal code-word to a graph G=(V, E) { if (hubs=bitmask) { Hub i= original size-compressed codewordsx recurrence value-32 bits Edge value i= original size-compressed codewords x recurrence of matched value } Then Reference bit reserve(si) = Calculate the total reserve bits for all hubs Select the profitable node i=i*=arg max f(si) Remove the profitable hub i Insert g to the reference set Delete the remaining edges } Update the hubs and edges } End. An RBR Algorithm is an enhanced form of the Algorithms proposed so far. The new calculation first changes each exciting direction into one hub. The two edges which are in between of the two hubs in direction will give that those two codes were correlated to one another by the usage of the Bit-Mask compression method. This suggested method then editor@iaeme.com

7 Chakrapani K, Muthaiah R discovers a bit reserving of all hubs then adds the efficient hub to the reference set. After that the high yielding hub will be expelled from Fig.3. Because of all the neighboring hubs of the highly productive hub can be secured by the most beneficial hub, the hub sparing of each adjacent hub ought to remove the edge which is connected to the highest gainful node, all the edges of then neighboring hubs are removed. These means are reused till the reference set is filled up. Figure 3 RBR The highest productive hub accomplishes savings from the mix of its hub sparing and edge reserve codes of different centres. However, associated directions can't be actually embedded into the reference set. Whether these associated codes ought to be chosen into the word reference set in the accompanying rounds is exclusively dictated by their recurrence values. This technique provides a favourable position. At the point when just the edges associated with the highly productive hub are expelled after the highly beneficial hub is chosen and then fixed firmly into the reference set. Perhaps, this algorithm is going to pick any one of the neighbours from the highly gainful hub to represent the new profitable hub. Still this may lead to the selection of number of inaccurate or Case chubs and which are bonded to the word reference. Fig.3 demonstrates a case of determination utilizing RBR. All Symbols are illustrated as 32-bit wide; the reference set contains 1024 sections; just a single 2-bit mask is utilized, and the overhead of the distinguishing tag is a 2-bit. Each hub contains its recurrence esteem when all the symbols are moved into their respective hubs. At the point when two hubs were correlated to one another by the usage of Bit-Mask, then the algorithm will make two directional edges to associate that hubs; this indicated that the weight relating to the genuine edge sparing when the associated hub is compacted by the coordinated hub. The hub saving for each hub approached nearly (code-word length 10 + a label width 2), which is duplicated by its recurrence. Edge sparing is measured up to (codeword length 10 + a label width 2 +a bitmask 6), which is duplicated by the recurrence of the coordinated hub. Hub A is clearly the highly productive hub; the bit sparing estimation of SA is superior to that of remaining hubs. In RBR, once after the Node A is chosen and embedded into the word reference, all other edges which consists of B, C, and E Nodes are erased. For B, C, and E Nodes which can be condensed by Node A utilising the Bit-Mask, the edge editor@iaeme.com

8 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores sparing from the edge of Node A is removed from their hub sparing. In another word, the hub sparing of Node B is initially raised to 200. After Node A is chosen and embedded into the reference set, the hub sparing of Node B is redesigned into 60 ( ) Bit masking with RBR The masking with RBR and an example of RBR with a mask are given in Algorithm 2 and in Fig.4 respectively. Algorithm 2: Bit masking with RBR Figure 4 Bit masking with RBR Input: a.32-bit unique instruction vectors b.small Reference set size c.big Reference set size d.mask types Output:Compressed code word based reference set Begin Transform ideal codeword to a graph G=(V, E){ if (hubs=bitmask) { Optimize the LUT } Then Update LUT Calculate the total reserve bits for all hubs Select the profitable node i=i* = arg max f(si) Remove the profitable hub i Insert g to the reference set Delete the remaining edges } Update the hubs and edges } End. The production of this single decoding table by RBR-based compression techniques makes a typical structure and this structure hinders the decompression engine to perform well and also with this decomposition architecture parallelized decompression cannot be performed. So, the possibilities to attain the decompression by the usage of multi-decoding tables which are accessed by changing the original compression algorithm and decompression engine are more by the application of the suggested separated dictionary structure for the dictionary-based compression strategies. For instance, we should have an algorithm to get the editor@iaeme.com

9 Chakrapani K, Muthaiah R profile of decompression level parallelism. By this study, the algorithm will make sure that, the next instruction will fall into different dictionaries and also making them to access in parallel at the same time. From this speculation the decompression of certain instruction sequences cannot be done identically. This problem can be solved in two ways: 1) The decompression level parallelism will rise by refining the compiler and 2) there should be a loss in CR to rise the decompression level parallelism which can be achieved by reproducing some instructions which should exactly be like original instructions into different dictionaries. Moreover, the parallel version of decompression engine should be redesigned. 5. OUTCOME AND DISCUSSION The modeling and implementation of the proposed LRC plan are done in VHDL and simulation is completed in Model Sim 6.5. Parameters like power, Area are analyzed in Xilinx 14.2 ISE. In this Work, tests of256 test examples are analyzed and some moves between sequential patterns. The validation of the analyzed patterns is done by, applying to the bench mark circuits of different complexity. Comes about for every strategy are arranged and contrasted and the past techniques. The obtained results proved that the reduced Dynamic power dissipation is by RBT scheme. Figure 5 Simulation Output of E-RBT Fig.5. demonstrates that yield of the RBT code for clk=1 and control flag set =1, the yield J are introduced to zero while for control signs are zero, the yield affirms that 8-bit mode work. The compression improvement percentage is figured utilizing ( ) Where Cr=compression ratio, CRothers= average Cr of other methods, CRours= average Cr of RBT method. To process the average and peak power control which is same as utilized by previous methods. The decrease in average power utilization is figured using the accompanying Equations individually. (1) ( ) (2) editor@iaeme.com

10 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores where average power utilizations of the test vector got from Mintest program, the expression "X" in represents average power of separate techniques. Table 2 and 3 shows comparison of compression ratio and scan-in average power respectively. Table 2 Comparisons of Compression ratio(%) Benchmark circuits Proposed RBR ARL EFDR FDR MIN TEST S S S S S S Table 3 Scan-in average power: Comparison of RBR with others Benchmark circuits RBR ARL EFDR FDR MIN TEST S S S S S Average The test application time (TAT) for compressed test depends on the compression ratio, system clock frequency and decompression architecture. The test time is the same as the transfer time when there is no compression. If the total bits in uncompressed test are TD and ATE transfers data to SoC at the rate of fate, then the TAT of uncompressed test set (TATno comp) is given in equation (3) (3) Table 4 Test application time: RBR vs others (in ATE clock cycles in sec) Benchmar k circuits Proposed RBR ARL EFDR FDR MIN TEST S S S S S S editor@iaeme.com

11 Chakrapani K, Muthaiah R Table 5 Fault coverage comparison (%) of Benchmark Circuit PROPOSED RBR ARL EFDR FDR S S S S S S Table 4 and 5 gives Test application time between RBR with others and certain benchmarks respectively. It also gives how many test patterns are required to hit target fault coverage for existing Methods and proposed RBT algorithm. Hence the performance of fault coverage comparison for ISCAS 89 benchmark circuits is improved using RBR. 6. CONCLUSIONS Thus the possibilities to attain the decompression by the usage of multi-decoding tables which are accessed by changing the original compression algorithm and decompression engine are more by applying the proposed RBR architecture for the based compression methods. By this study, the algorithm will make sure that, the next instruction will fall into different dictionaries and also making them to access in parallel at the same time. From this speculation the decompression of certain instruction sequences cannot be done identically. This problem can be solved in two ways: 1)The decompression level parallelism will rise by refining the compiler and 2) there should be a loss in CR to rise the decompression level parallelism which can be achieved by reproducing some instructions which should exactly be like original instructions into different dictionaries. Moreover, the parallel version of decompression engine should be redesigned. REFERENCES [1] Touba, N. A. (2006). Survey of test vector compression techniques. IEEE Design & Test of Computers, 23(4), [2] Jas, A., & Touba, N. A. (1998, October). Test vector decompression via cyclical scan chains and its application to testing core-based designs. In Test Conference, Proceedings., International (pp ). IEEE. [3] Chandra, A., & Chakrabarty, K. (2001). System-on-a-chip test-data compression and decompression architectures based on Golomb codes. IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, 20(3), [4] Chandra, A., & Chakrabarty, K. (2003). Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes. IEEE Transactions on Computers, 52(8), [5] Gonciari, P. T., Al-Hashimi, B. M., & Nicolici, N. (2003). Variable-length input Huffman coding for system-on-a-chip test. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 22(6), [6] El-Maleh, A. H. (2008). Test data compression for system-on-a-chip using extended frequency-directed run-length code. IET Computers & Digital Techniques, 2(3), [7] Chandra, A., & Chakrabarty, K. (2003). A unified approach to reduce SOC test data volume, scan power and testing time. IEEE transactions on computer-aided design of integrated circuits and systems, 22(3), editor@iaeme.com

12 Referenced BIT Reserve Scheme with BIT Masking for Test Data Compression for IP Embedded Cores [8] Nourani, M., & Tehranipour, M. H. (2005). RL-Huffman encoding for test compression and power reduction in scan applications. ACM Transactions on Design Automation of Electronic Systems (TODAES), 10(1), [9] Yu, Y., Yang, Z., & Peng, X. (2012, May). Test data compression based on variable prefix dual-run-length code. In Instrumentation and Measurement Technology Conference (I2MTC), 2012 IEEE International (pp ). IEEE. [10] Lee, L. J., Tseng, W. D., Lin, R. B., & Chang, C. H. (2012). $2^{n} $ Pattern Run-Length for Test Data Compression. IEEE transactions on computer-aided design of integrated circuits and systems, 31(4), [11] Sismanoglou, P., & Nikolos, D. (2011, December). Test data compression based on the reuse of parts of the dictionary entries. In Electronics, Circuits and Systems (ICECS), th IEEE International Conference on (pp ). IEEE. [12] Kavousianos, X., Kalligeros, E., & Nikolos, D. (2007). Optimal selective Huffman coding for test-data compression. IEEE transactions on computers, 56(8). [13] F. R. Ohnsorg, Binary Fourier Representation, In Spectrum Analysis Techniques Symposium, Sept [14] Yogi, N., & Agrawal, V. D. (2010, April). Application of signal and noise theory to digital VLSI testing. In VTS (pp ). [15] Yogi, N., & Agrawal, V. D. (2009, August). BIST/Test-Decompressor Design using Combinational Test Spectrum. In Proc. 13th VLSI Design and Test Symp. [16] Chin, C. K., & McCluskey, E. J. (1984). Weighted pattern generation for built-in self-test. Center for Reliable Computing, Computer Systems Laboratory, Depts. of Electrical Engineering and Computer Science, Stanford University. [17] Lee, D., & Roy, K. (2012). Viterbi-based efficient test data compression. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(4), [18] JNVR Swarup Kumar and R Deepika. An Optimized Block Estimation Based Image Compression and Decompression Algorithm. International Journal of Computer Engineering and Technology, 7 (1), 2016, pp [19] Ashwini Atulkar and Abhishek Jain. A Video Compression Technique Utilizing Spatio - Temporal Lower Coefficients. International Journal of Electronics and Communication Engineering & Technology, 7 (1), 2016, pp editor@iaeme.com

Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods

Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods Test Data Compression Using a Hybrid of Bitmask Dictionary and 2 n Pattern Runlength Coding Methods C. Kalamani, K. Paramasivam Abstract In VLSI, testing plays an important role. Major problem in testing

More information

Test Data Compression Using Variable Prefix Run Length (VPRL) Code

Test Data Compression Using Variable Prefix Run Length (VPRL) Code IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 4, Issue 2, Ver. I (Mar-Apr. 2014), PP 91-95 e-issn: 2319 4200, p-issn No. : 2319 4197 Test Data Compression Using Variable Prefix Run Length

More information

Code Based Compression Techniques in Digital VLSI

Code Based Compression Techniques in Digital VLSI Code Based Compression Techniques in Digital VLSI Mr.Mohammad Iliyas Assistant Professor, Dept of ECE and Research Scholar, Nawab Shah Alam Khan College of Engineering and Technology, Hyderabad, India.

More information

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp.

1 Introduction & The Institution of Engineering and Technology 2008 IET Comput. Digit. Tech., 2008, Vol. 2, No. 4, pp. Published in IET Computers & Digital Techniques Received on 15th May 2007 Revised on 17th December 2007 Selected Papers from NORCHIP 06 ISSN 1751-8601 Architecture for integrated test data compression

More information

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code

System-on-Chip Test Data Compression Based on Split-Data Variable Length (SDV) Code Circuits and Systems, 2016, 7, 1213-1223 Published Online June 2016 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2016.78105 System-on-Chip Test Data Based on Split-Data Variable

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS

AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS AN IMPLEMENTATION THAT FACILITATE ANTICIPATORY TEST FORECAST FOR IM-CHIPS E.S.D Gireesh Goud 1, Mrs.T.Swetha 2 PG Scholor, DIET, HYD 1, Assistant Professor, DIET, HYD 2 ABSTRACT These designs pose significant

More information

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING

TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING TEST DATA COMPRESSION BASED ON GOLOMB CODING AND TWO-VALUE GOLOMB CODING Priyanka Kalode 1 and Mrs. Richa Khandelwal 2 1 Department of Electronics Engineering, Ramdeobaba college of Engg and Mgt, Nagpur

More information

How Effective are Compression Codes for Reducing Test Data Volume?

How Effective are Compression Codes for Reducing Test Data Volume? How Effective are Compression Codes for Reducing Test Data Volume Anshuman Chandra, Krishnendu Chakrabarty and Rafael A Medina Dept Electrical & Computer Engineering Dept Electrical Engineering & Computer

More information

Test Application Time and Volume Compression through Seed Overlapping

Test Application Time and Volume Compression through Seed Overlapping Test Application Time and Volume Compression through Seed verlapping ABSTRACT We propose in this paper an approach based on the Scan Chain Concealment technique to further reduce test time and volume.

More information

A Novel Test Data Compression Algorithm

A Novel Test Data Compression Algorithm International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn : 2278-800X, www.ijerd.com Volume 5, Issue 5 (December 2012), PP. 08-18 A Novel Test Data Compression Algorithm Ms.K.Hemalatha

More information

A Technique for High Ratio LZW Compression

A Technique for High Ratio LZW Compression A Technique for High Ratio LZW Compression Michael J. Knieser Francis G. Wolff Chris A. Papachristou Daniel J. Weyer David R. McIntyre Indiana University Purdue University Indianapolis Case Western Reserve

More information

A Novel Test-Data Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods Kanad Basu 1 and Prabhat Mishra 2

A Novel Test-Data Compression Technique using Application-Aware Bitmask and Dictionary Selection Methods Kanad Basu 1 and Prabhat Mishra 2 A Novel Test-Data Compression Technique using Application-Aware Bitmask and Selection Methods Kanad Basu 1 and Prabhat Mishra 2 Computer and Information Science and Engineering Department University of

More information

Scan-Based BIST Diagnosis Using an Embedded Processor

Scan-Based BIST Diagnosis Using an Embedded Processor Scan-Based BIST Diagnosis Using an Embedded Processor Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University of Texas

More information

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition

Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Efficiently Utilizing ATE Vector Repeat for Compression by Scan Vector Decomposition Jinkyu Lee and Nur A. Touba Computer Engineering Research Center University of Teas, Austin, TX 7872 {jlee2, touba}@ece.uteas.edu

More information

DUE TO innovations in the manufacturing technology of

DUE TO innovations in the manufacturing technology of 274 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 2, FEBRUARY 2016 Tri-State Coding Using Reconfiguration of Twisted Ring Counter for Test Data Compression

More information

Compression-based SoC Test Infrastructures

Compression-based SoC Test Infrastructures Compression-based SoC Test Infrastructures LIRMM, Univ. Montpellier II/CNRS, 161 rue Ada, 34932 Montpellier cedex 5, France {dalmasso, flottes, rouzeyre}@lirmm.fr Abstract. Test Data Compression techniques

More information

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination

Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Reconfigurable Linear Decompressors Using Symbolic Gaussian Elimination Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center University of Texas at Austin {kjbala,touba}@ece.utexas.edu

More information

TAM design and Test Data Compression for SoC Test Cost Reduction

TAM design and Test Data Compression for SoC Test Cost Reduction TAM design and Test Data Compression for SoC Test Cost Reduction Julien DALMASSO, Marie-Lise FLOTTES, Bruno ROUZEYRE LIRMM, UMR5506 CNRS/Université Montpellier II 161 rue Ada, 34932 Montpellier cedex 5,

More information

Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices

Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices LEI LI and KRISHNENDU CHAKRABARTY Duke University and NUR A. TOUBA University of Texas, Austin We present a dictionary-based

More information

WITH integrated circuits, especially system-on-chip

WITH integrated circuits, especially system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 14, NO. 11, NOVEMBER 2006 1227 Improving Linear Test Data Compression Kedarnath J. Balakrishnan, Member, IEEE, and Nur A. Touba, Senior

More information

Core-Level Compression Technique Selection and SOC Test Architecture Design 1

Core-Level Compression Technique Selection and SOC Test Architecture Design 1 17th Asian Test Symposium Core-Level Compression Technique Selection and SOC Test Architecture Design 1 Anders Larsson +, Xin Zhang +, Erik Larsson +, and Krishnendu Chakrabarty * + Department of Computer

More information

Lossless Compression using Efficient Encoding of Bitmasks

Lossless Compression using Efficient Encoding of Bitmasks Lossless Compression using Efficient Encoding of Bitmasks Chetan Murthy and Prabhat Mishra Department of Computer and Information Science and Engineering University of Florida, Gainesville, FL 326, USA

More information

Text Data Compression and Decompression Using Modified Deflate Algorithm

Text Data Compression and Decompression Using Modified Deflate Algorithm Text Data Compression and Decompression Using Modified Deflate Algorithm R. Karthik, V. Ramesh, M. Siva B.E. Department of Computer Science and Engineering, SBM COLLEGE OF ENGINEERING AND TECHNOLOGY, Dindigul-624005.

More information

Low Power Test-Compression for High Test-Quality and Low Test-Data Volume

Low Power Test-Compression for High Test-Quality and Low Test-Data Volume 2011 Asian Test Symposium Low Power Test-Compression for High Test-Quality and Low Test-Data Volume Vasileios Tenentes, Xrysovalantis Kavousianos Dept. of Computer Science, University of Ioannina, Greece,

More information

Test Data Compression Using Dictionaries with Fixed-Length Indices

Test Data Compression Using Dictionaries with Fixed-Length Indices Test Data Compression Using Dictionaries with Fixed-Length Indices Lei Li and Krishnendu Chakrabarty Department of Electrical and Computer Engineering Duke University, Durham, NC 27708 {ll, krish}@ee.duke.edu

More information

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor

Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip Using an Embedded Processor JOURNAL OF ELECTRONIC TESTING: Theory and Applications 18, 503 514, 2002 c 2002 Kluwer Academic Publishers. Manufactured in The Netherlands. Deterministic Test Vector Compression/Decompression for Systems-on-a-Chip

More information

Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding

Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding Master s thesis Deterministic Test Vector Compression/Decompression Using an Embedded Processor and Facsimile Coding by Jon Persson LITH-IDA-EX 05/033 SE 2005-03-21 Master s thesis Deterministic Test Vector

More information

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis

A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis Chunsheng Liu and Krishnendu Chakrabarty Department of Electrical & Computer

More information

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns

Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Test-Architecture Optimization and Test Scheduling for SOCs with Core-Level Expansion of Compressed Test Patterns Anders Larsson, Erik Larsson, Krishnendu Chakrabarty *, Petru Eles, and Zebo Peng Embedded

More information

TEST cost in the integrated circuit (IC) industry has

TEST cost in the integrated circuit (IC) industry has IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 33, NO. 8, AUGUST 2014 1219 Utilizing ATE Vector Repeat with Linear Decompressor for Test Vector Compression Joon-Sung

More information

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction

FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction FCSCAN: An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction Youhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, and Tatsuo Ohtsuki Dept. of Computer Science Waseda University,

More information

On Using Machine Learning for Logic BIST

On Using Machine Learning for Logic BIST On Using Machine Learning for Logic BIST Christophe FAGOT Patrick GIRARD Christian LANDRAULT Laboratoire d Informatique de Robotique et de Microélectronique de Montpellier, UMR 5506 UNIVERSITE MONTPELLIER

More information

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints

RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints RTL Scan Design for Skewed-Load At-Speed Test under Power Constraints Ho Fai Ko and Nicola Nicolici Department of Electrical and Computer Engineering McMaster University, Hamilton, ON, L8S 4K1, Canada

More information

A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing

A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing A Heuristic for Concurrent SOC Test Scheduling with Compression and Sharing Anders Larsson, Erik Larsson, Petru Eles, and Zebo Peng Embedded Systems Laboratory Linköpings Universitet SE-582 83 Linköping,

More information

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Yijie Huangfu and Wei Zhang Department of Electrical and Computer Engineering Virginia Commonwealth University {huangfuy2,wzhang4}@vcu.edu

More information

CHAPTER 6. 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform. 6.3 Wavelet Transform based compression technique 106

CHAPTER 6. 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform. 6.3 Wavelet Transform based compression technique 106 CHAPTER 6 6 Huffman Coding Based Image Compression Using Complex Wavelet Transform Page No 6.1 Introduction 103 6.2 Compression Techniques 104 103 6.2.1 Lossless compression 105 6.2.2 Lossy compression

More information

Designing and Characterization of koggestone, Sparse Kogge stone, Spanning tree and Brentkung Adders

Designing and Characterization of koggestone, Sparse Kogge stone, Spanning tree and Brentkung Adders Vol. 3, Issue. 4, July-august. 2013 pp-2266-2270 ISSN: 2249-6645 Designing and Characterization of koggestone, Sparse Kogge stone, Spanning tree and Brentkung Adders V.Krishna Kumari (1), Y.Sri Chakrapani

More information

Implementation of Field Programmable Gate Array (FPGA) for decision making in portable automatic testing systems for IC s library & digital circuits

Implementation of Field Programmable Gate Array (FPGA) for decision making in portable automatic testing systems for IC s library & digital circuits Implementation of Field Programmable Gate Array (FPGA) for decision making in portable automatic testing systems for IC s library & digital circuits M. S. Zaghloul 1, M. Saleh 2 1,2 Arab Academy for Science,

More information

Code Compression for RISC Processors with Variable Length Instruction Encoding

Code Compression for RISC Processors with Variable Length Instruction Encoding Code Compression for RISC Processors with Variable Length Instruction Encoding S. S. Gupta, D. Das, S.K. Panda, R. Kumar and P. P. Chakrabarty Department of Computer Science & Engineering Indian Institute

More information

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits

An Efficient Test Relaxation Technique for Synchronous Sequential Circuits An Efficient Test Relaxation Technique for Synchronous Sequential Circuits Aiman El-Maleh and Khaled Al-Utaibi King Fahd University of Petroleum and Minerals Dhahran 326, Saudi Arabia emails:{aimane, alutaibi}@ccse.kfupm.edu.sa

More information

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor

Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Efficient Algorithm for Test Vector Decompression Using an Embedded Processor Kamran Saleem and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering University

More information

INTERCONNECT TESTING WITH BOUNDARY SCAN

INTERCONNECT TESTING WITH BOUNDARY SCAN INTERCONNECT TESTING WITH BOUNDARY SCAN Paul Wagner Honeywell, Inc. Solid State Electronics Division 12001 State Highway 55 Plymouth, Minnesota 55441 Abstract Boundary scan is a structured design technique

More information

Runlength Compression Techniques for FPGA Configurations

Runlength Compression Techniques for FPGA Configurations Runlength Compression Techniques for FPGA Configurations Scott Hauck, William D. Wilson Department of Electrical and Computer Engineering Northwestern University Evanston, IL 60208-3118 USA {hauck, wdw510}@ece.nwu.edu

More information

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion

Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Improving Encoding Efficiency for Linear Decompressors Using Scan Inversion Kedarnath J. Balakrishnan and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

A Low-Cost Correction Algorithm for Transient Data Errors

A Low-Cost Correction Algorithm for Transient Data Errors A Low-Cost Correction Algorithm for Transient Data Errors Aiguo Li, Bingrong Hong School of Computer Science and Technology Harbin Institute of Technology, Harbin 150001, China liaiguo@hit.edu.cn Introduction

More information

AS FEATURE sizes shrink and designs become more complex,

AS FEATURE sizes shrink and designs become more complex, IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 10, OCTOBER 2004 1447 Identification of Error-Capturing Scan Cells in Scan-BIST With Applications to System-on-Chip

More information

Wavelet Based Image Compression Using ROI SPIHT Coding

Wavelet Based Image Compression Using ROI SPIHT Coding International Journal of Information & Computation Technology. ISSN 0974-2255 Volume 1, Number 2 (2011), pp. 69-76 International Research Publications House http://www.irphouse.com Wavelet Based Image

More information

International Journal of Advance Engineering and Research Development

International Journal of Advance Engineering and Research Development Scientific Journal of Impact Factor (SJIF): 4.14 International Journal of Advance Engineering and Research Development Volume 3, Issue 3, March -2016 e-issn (O): 2348-4470 p-issn (P): 2348-6406 Identify

More information

AN ANALYTICAL STUDY OF LOSSY COMPRESSION TECHINIQUES ON CONTINUOUS TONE GRAPHICAL IMAGES

AN ANALYTICAL STUDY OF LOSSY COMPRESSION TECHINIQUES ON CONTINUOUS TONE GRAPHICAL IMAGES AN ANALYTICAL STUDY OF LOSSY COMPRESSION TECHINIQUES ON CONTINUOUS TONE GRAPHICAL IMAGES Dr.S.Narayanan Computer Centre, Alagappa University, Karaikudi-South (India) ABSTRACT The programs using complex

More information

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER

CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 84 CHAPTER 3 ASYNCHRONOUS PIPELINE CONTROLLER 3.1 INTRODUCTION The introduction of several new asynchronous designs which provides high throughput and low latency is the significance of this chapter. The

More information

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM

HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM HARDWARE IMPLEMENTATION OF LOSSLESS LZMA DATA COMPRESSION ALGORITHM Parekar P. M. 1, Thakare S. S. 2 1,2 Department of Electronics and Telecommunication Engineering, Amravati University Government College

More information

Static Compaction Techniques to Control Scan Vector Power Dissipation

Static Compaction Techniques to Control Scan Vector Power Dissipation Static Compaction Techniques to Control Scan Vector Power Dissipation Ranganathan Sankaralingam, Rama Rao Oruganti, and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer

More information

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering

Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Efficient Test Compaction for Combinational Circuits Based on Fault Detection Count-Directed Clustering Aiman El-Maleh, Saqib Khurshid King Fahd University of Petroleum and Minerals Dhahran, Saudi Arabia

More information

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization

A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization A Low Power Design of Gray and T0 Codecs for the Address Bus Encoding for System Level Power Optimization Prabhat K. Saraswat, Ghazal Haghani and Appiah Kubi Bernard Advanced Learning and Research Institute,

More information

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip

A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip 2010 25th International Symposium on Defect and Fault Tolerance in VLSI Systems A Strategy for Interconnect Testing in Stacked Mesh Network-on- Chip Min-Ju Chan and Chun-Lung Hsu Department of Electrical

More information

Improving Memory Repair by Selective Row Partitioning

Improving Memory Repair by Selective Row Partitioning 200 24th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Improving Memory Repair by Selective Row Partitioning Muhammad Tauseef Rab, Asad Amin Bawa, and Nur A. Touba Computer

More information

Delay Test with Embedded Test Pattern Generator *

Delay Test with Embedded Test Pattern Generator * JOURNAL OF INFORMATION SCIENCE AND ENGINEERING 29, 545-556 (2013) Delay Test with Embedded Test Pattern Generator * Department of Computer Science National Chung Hsing University Taichung, 402 Taiwan A

More information

A FAST AND EFFICIENT HARDWARE TECHNIQUE FOR MEMORY ALLOCATION

A FAST AND EFFICIENT HARDWARE TECHNIQUE FOR MEMORY ALLOCATION A FAST AND EFFICIENT HARDWARE TECHNIQUE FOR MEMORY ALLOCATION Fethullah Karabiber 1 Ahmet Sertbaş 1 Hasan Cam 2 1 Computer Engineering Department Engineering Faculty, Istanbul University 34320, Avcilar,

More information

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering,

Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, Low Power using Match-Line Sensing in Content Addressable Memory S. Nachimuthu, S. Ramesh 1 Department of Electrical and Electronics Engineering, K.S.R College of Engineering, Tiruchengode, Tamilnadu,

More information

Compression of Stereo Images using a Huffman-Zip Scheme

Compression of Stereo Images using a Huffman-Zip Scheme Compression of Stereo Images using a Huffman-Zip Scheme John Hamann, Vickey Yeh Department of Electrical Engineering, Stanford University Stanford, CA 94304 jhamann@stanford.edu, vickey@stanford.edu Abstract

More information

The Encoding Complexity of Network Coding

The Encoding Complexity of Network Coding The Encoding Complexity of Network Coding Michael Langberg Alexander Sprintson Jehoshua Bruck California Institute of Technology Email: mikel,spalex,bruck @caltech.edu Abstract In the multicast network

More information

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods

A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods International Journal of Scientific & Engineering Research, Volume 4, Issue 4, April-2013 664 A Study on the Testing of VLSI Systems Using Reduced Power Consumption Methods Debasmita Hazra Abstract- This

More information

BISTed cores and Test Time Minimization in NOC-based Systems

BISTed cores and Test Time Minimization in NOC-based Systems BISTed cores and Test Time Minimization in NOC-based Systems Érika Cota 1 Luigi Carro 1,2 Flávio Wagner 1 Marcelo Lubaszewski 1,2 1 PPGC - Instituto de Informática 2 PPGEE - Depto. Engenharia Elétrica

More information

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs

Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Low Cost Convolutional Code Based Concurrent Error Detection in FSMs Konstantinos Rokas & Yiorgos Makris Electrical Engineering Department Yale University {konstantinos.rokas, yiorgos.makris}@yale.edu

More information

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips

An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips An Energy-Efficient Scan Chain Architecture to Reliable Test of VLSI Chips M. Saeedmanesh 1, E. Alamdar 1, E. Ahvar 2 Abstract Scan chain (SC) is a widely used technique in recent VLSI chips to ease the

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Test data truncation for test quality maximisation under ATE memory depth constraint

Test data truncation for test quality maximisation under ATE memory depth constraint Test data truncation for test quality maximisation under ATE memory depth constraint E. Larsson and S. Edbom Abstract: Testing is used to ensure production of high quality integrated circuits. High test

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission

FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission FPGA Implementation of Huffman Encoder and Decoder for High Performance Data Transmission Shireesha Thummala 1,Thrisul Kumar. J 2, Swarna latha. E 3 1 Vignan Institute of Technology and Aeronautical Engineering,

More information

Data Compression Scheme of Dynamic Huffman Code for Different Languages

Data Compression Scheme of Dynamic Huffman Code for Different Languages 2011 International Conference on Information and Network Technology IPCSIT vol.4 (2011) (2011) IACSIT Press, Singapore Data Compression Scheme of Dynamic Huffman Code for Different Languages Shivani Pathak

More information

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation

Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Trace Signal Selection to Enhance Timing and Logic Visibility in Post-Silicon Validation Hamid Shojaei, and Azadeh Davoodi University of Wisconsin 1415 Engineering Drive, Madison WI 53706 Email: {shojaei,

More information

System Verification of Hardware Optimization Based on Edge Detection

System Verification of Hardware Optimization Based on Edge Detection Circuits and Systems, 2013, 4, 293-298 http://dx.doi.org/10.4236/cs.2013.43040 Published Online July 2013 (http://www.scirp.org/journal/cs) System Verification of Hardware Optimization Based on Edge Detection

More information

Reconfigurable PLL for Digital System

Reconfigurable PLL for Digital System International Journal of Engineering Research and Technology. ISSN 0974-3154 Volume 6, Number 3 (2013), pp. 285-291 International Research Publication House http://www.irphouse.com Reconfigurable PLL for

More information

Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao

Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao Bus Encoding Technique for hierarchical memory system Anne Pratoomtong and Weiping Liao Abstract In microprocessor-based systems, data and address buses are the core of the interface between a microprocessor

More information

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG

VERIFICATION OF AXIPROTOCOL SYSTEM VERILOG International Journal of Mechanical Engineering and Technology (IJMET) Volume 8, Issue 5, May 2017, pp. 588 595, Article ID: IJMET_08_05_065 Available online at http://www.ia aeme.com/ijmet/issues.asp?jtype=ijmet&vtyp

More information

Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder

Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder THE INSTITUTE OF ELECTRONICS, IEICE ICDV 2011 INFORMATION AND COMMUNICATION ENGINEERS Multi-level Design Methodology using SystemC and VHDL for JPEG Encoder Duy-Hieu Bui, Xuan-Tu Tran SIS Laboratory, University

More information

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2

DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL. Shruti Hathwalia* 1, Meenakshi Yadav 2 ISSN 2277-2685 IJESR/November 2014/ Vol-4/Issue-11/799-807 Shruti Hathwalia et al./ International Journal of Engineering & Science Research DESIGN AND IMPLEMENTATION OF SDR SDRAM CONTROLLER IN VHDL ABSTRACT

More information

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:!

l Some materials from various sources! Soma 1! l Apply a signal, measure output, compare l 32-bit adder test example:! Acknowledgements! Introduction and Overview! Mani Soma! l Some materials from various sources! n Dr. Phil Nigh, IBM! n Principles of Testing Electronic Systems by S. Mourad and Y. Zorian! n Essentials

More information

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers

Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Addressing Verification Bottlenecks of Fully Synthesized Processor Cores using Equivalence Checkers Subash Chandar G (g-chandar1@ti.com), Vaideeswaran S (vaidee@ti.com) DSP Design, Texas Instruments India

More information

IJSER. Real Time Object Visual Inspection Based On Template Matching Using FPGA

IJSER. Real Time Object Visual Inspection Based On Template Matching Using FPGA International Journal of Scientific & Engineering Research, Volume 4, Issue 8, August-2013 823 Real Time Object Visual Inspection Based On Template Matching Using FPGA GURURAJ.BANAKAR Electronics & Communications

More information

THE widespread use of embedded cores in system-on-chip

THE widespread use of embedded cores in system-on-chip IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 12, DECEMBER 2004 1263 SOC Test Planning Using Virtual Test Access Architectures Anuja Sehgal, Member, IEEE, Vikram Iyengar,

More information

Prefix Computation and Sorting in Dual-Cube

Prefix Computation and Sorting in Dual-Cube Prefix Computation and Sorting in Dual-Cube Yamin Li and Shietung Peng Department of Computer Science Hosei University Tokyo - Japan {yamin, speng}@k.hosei.ac.jp Wanming Chu Department of Computer Hardware

More information

Performance of Constant Addition Using Enhanced Flagged Binary Adder

Performance of Constant Addition Using Enhanced Flagged Binary Adder Performance of Constant Addition Using Enhanced Flagged Binary Adder Sangeetha A UG Student, Department of Electronics and Communication Engineering Bannari Amman Institute of Technology, Sathyamangalam,

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints

Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints Practical Test Architecture Optimization for System-on-a-Chip under Floorplanning Constraints Makoto Sugihara, Kazuaki Murakami and Yusuke Matsunaga Institute of Systems & Information Technologies/KYUSHU

More information

An Effective Approach to Improve Storage Efficiency Using Variable bit Representation

An Effective Approach to Improve Storage Efficiency Using Variable bit Representation Volume 114 No. 12 2017, 145-154 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu An Effective Approach to Improve Storage Efficiency Using Variable

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

Designing and Building an Automatic Information Retrieval System for Handling the Arabic Data

Designing and Building an Automatic Information Retrieval System for Handling the Arabic Data American Journal of Applied Sciences (): -, ISSN -99 Science Publications Designing and Building an Automatic Information Retrieval System for Handling the Arabic Data Ibrahiem M.M. El Emary and Ja'far

More information

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study

Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Soft-Core Embedded Processor-Based Built-In Self- Test of FPGAs: A Case Study Bradley F. Dutton, Graduate Student Member, IEEE, and Charles E. Stroud, Fellow, IEEE Dept. of Electrical and Computer Engineering

More information

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET)

INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATION ENGINEERING & TECHNOLOGY (IJECET) ISSN 0976 6464(Print) ISSN 0976 6472(Online) Volume 4, Issue 6, November - December, 2013, pp. 85-92 IAEME: www.iaeme.com/ijecet.asp

More information

Data Encryption on FPGA using Huffman Coding

Data Encryption on FPGA using Huffman Coding Data Encryption on FPGA using Huffman Coding Sourav Singh 1, Kirti Gupta 2 12 Electronics and Communication Department, Bharati Vidyapeeth s College of Engineering, New Delhi, (India) ABSTRACT The ultimate

More information

Gate Level Fault Diagnosis in Scan-Based BIST

Gate Level Fault Diagnosis in Scan-Based BIST Gate Level Fault Diagnosis in Scan-Based BIST Ismet Bayraktaroglu Computer Science & Engineering Department University of California, San Diego La Jolla, CA 92093 ibayrakt@csucsdedu Alex Orailoglu Computer

More information

Design and Implementation of Lossless Data Compression Coprocessor using FPGA

Design and Implementation of Lossless Data Compression Coprocessor using FPGA Design and Implementation of Lossless Data Compression Coprocessor using FPGA Udaya Kumar H PG Student(VLSI Design and Embedded Systems) SIET, Tumkur Karnataka, India Madhu B C Assistant Prof., Dept. of

More information

OPTIMIZED SENSOR NODES OF WIRELESS SENSOR NETWORK BY FAULT NODE RECOVERY ALGORITHM

OPTIMIZED SENSOR NODES OF WIRELESS SENSOR NETWORK BY FAULT NODE RECOVERY ALGORITHM OPTIMIZED SENSOR NODES OF WIRELESS SENSOR NETWORK BY FAULT NODE RECOVERY ALGORITHM Chauhan Ajitkumar 1, Asst. Prof. Jignesh V. Patel 2 1PG Scholar, EC Dept., SPCE, Visnagar, Gujarat, India. 2Assistant

More information

A Parallel Reconfigurable Architecture for DCT of Lengths N=32/16/8

A Parallel Reconfigurable Architecture for DCT of Lengths N=32/16/8 Page20 A Parallel Reconfigurable Architecture for DCT of Lengths N=32/16/8 ABSTRACT: Parthiban K G* & Sabin.A.B ** * Professor, M.P. Nachimuthu M. Jaganathan Engineering College, Erode, India ** PG Scholar,

More information

A Comparative Analysis of Cache Replacement Policy

A Comparative Analysis of Cache Replacement Policy A Comparative Analysis of Cache Replacement Policy Komal Agrahari 1, Muzammil Hassan (Asst. Prof CSED) 2, Manish Kumar Verma 3 1,2,3 Dept. of Computer Science and Engg, MMM University of Technology, (India)

More information

IMAGE COMPRESSION TECHNIQUES

IMAGE COMPRESSION TECHNIQUES IMAGE COMPRESSION TECHNIQUES A.VASANTHAKUMARI, M.Sc., M.Phil., ASSISTANT PROFESSOR OF COMPUTER SCIENCE, JOSEPH ARTS AND SCIENCE COLLEGE, TIRUNAVALUR, VILLUPURAM (DT), TAMIL NADU, INDIA ABSTRACT A picture

More information

N-Model Tests for VLSI Circuits

N-Model Tests for VLSI Circuits 40th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 16-18, 2008 MC3.6 N-Model Tests for VLSI Circuits Nitin Yogi and Vishwani D. Agrawal Auburn University,

More information

Use of Local Minimization for Lossless Gray Image Compression

Use of Local Minimization for Lossless Gray Image Compression Narendra Kumar et al. / (IJCSIT) International Journal of Computer Science and Information Technologies, Vol. 1 Use of Local Minimization for Lossless Gray Image Compression Narendra Kumar 1, Dr. Sachin

More information