Manchester encoder on Linear feedback shift register Pseudo arbitrary sequence engenderer Recollection controller

Size: px
Start display at page:

Download "Manchester encoder on Linear feedback shift register Pseudo arbitrary sequence engenderer Recollection controller"

Transcription

1 Manchester encoder on Linear feedback shift register Pseudo arbitrary sequence engenderer Recollection controller S Ashok Reddy 1 & S Mahaboob Basha 2 1 M-Tech Dept of ECE, Geethanjali Engineering College NANNUR-V, KURNOOL-DIST 2 Assistant Professor Dept ECE, Geethanjali Engineering College NANNUR-V, KURNOOL Abstract: DIST Mail Id:- syedmahaboob45@gmail.com In this paper a plenarily reused VLSI architecture of FM0/Manchester encoding technique for recollection application has been proposed. In this paper we are encoding the 1 bit data into 16 bit data and storing it into a recollection of certain address location given by the linear feedback shift register (LFSR),whose input is taken from the pseudo desultory sequence engenderer (PRSG). The encoded 16 bit data is stored into recollection controller; the encoded data is decoded back into 1 bit data under the condition: when MSB bit is at logic state 1. By utilizing FM0/Manchester encoding and decoding technique, the data will be secure, this process is facile and more expeditious to carry out. This paper develops a plenarily reused VLSI architecture, and additionally exhibits an efficient performance. Keywords: FM0/ Manchester encoder, Linear feedback shift register (LFSR), Pseudo arbitrary sequence engenderer (PRSG), Recollection controller. 1. INTRODUCTION The dedicated short range communication is a protocol for one or two way medium range communication. The DSRC can be briefly relegated into two categories: automobile-toautomobile and automobile-to roadside. In automobile-to-automobile, the DSRC enables the message sending and broadcasting among automobile. The automobile-to-roadside fixates on the astute conveyance accommodation, such as electronic toll accumulation (ETC).The DSRC architecture having the transceiver. The transceiver having the baseband processing, RF front end and microprocessor. The microprocessor is utilized to transfer the injuctive authorization to the baseband processing and RF front end. the RF front end is utilized to transmit and receive the wireless signals Available online: P a g e 1584

2 utilizing the antenna. The baseband rudimentary modules: Microprocessor, processing is responsible for modulation, Baseband Processing and RF Front - End. error rectification, encoding and The Microprocessor interprets ordinant synchronization. The transmitted signal dictations from media access control to consists of the arbitrary binary sequence, it schedule the tasks of baseband processing is very arduous to obtain the dc-balance.the and RF front - end. The Baseband fm0 and Manchester are provide the transmitted signal and then the dc-balance. The (SOLS) kindred attribute oriented logic simplification having the two methods: area Processing is responsible for modulation, error rectification, clock synchronization and encoding. The RF front-end transmits and receives the wireless signal through the compact retiming and balance logic antenna. operation sharing. The area compact 2. RELEATED WORK retiming used to reduce the transistor counts.the balance logic operation sharing is utilized to coalesce the fm0 and Manchester encoding. However, the coding diversity between both solemnly limits the potential to design a VLSI architecture that can be plenarily reused with each other. This paper proposes a VLSI architecture design utilizing Homogeneous attribute Oriented Logic Simplification (SOLS) technique. The SOLS consists of two core methods: Area - Compact Retiming and Balance Logic - Operation Sharing. The Area - Compact Retiming relocates the hardware resource to Fig. 1. System architecture of DSRC reduce the transistors count. The Balance transceiver Logic - Operation Sharing efficiently The Standard system architecture of DSRC coalesces FM0 and Manchester encodings Transceiver is shown in Fig. 1. The upper with the plenarily reused hardware and bottom components are dedicated for transmission and receiving, respectively. architecture. With SOLS technique, this paper constructs a plenarily reused VLSI This Transceiver is relegated into three Available online: P a g e 1585

3 architecture of Manchester and FM0 encodings for DSRC applications. The experiment results reveal that this design achieves an efficient performance compared with sophisticated works. The literature [1] proposes the plenarily reused VLSI architecture of FM0/Manchester encoding utilizing kindred attribute oriented logic simplification (SOLS) technique for Dedicated short range communication. The SOLS technique ameliorates the hardware utilization rate from 57.14% to 100% for both FM0 and Manchester encodings. 2.The literature [2] proposes a VLSI architecture of Manchester encoder for optical communications. This design utilizes the CMOS inverter and the gated inverter as the switch to construct Manchester encoder. It is executed by 0.35-μm CMOS technology and its operation frequency is 1 GHz. 3.The literature [3] later supersedes the architecture of switch in [2] by the nmos contrivance. It is performed in 90-nm CMOS technology, and the maximum operation frequency is as high as 5 GHz. 4.The literature [4] evolves a high-speed VLSI architecture relatively plenarily reused with Manchester and Miller encodings for radio frequency identification (RFID) applications. This architecture is performed in 0.35-μm CMOS technology and the maximum operation frequency is 200 MHz. 3. IMPLEMENTATION Fm0 encoding The FM0 having the following three rules. 1) If X is the logic-0,the fm0 code has the transition between the A and B. 2) If X is the logic-1,there is no transition is sanctioned between the A and B. 3) The transition is allocated in each FM0 code. The wave form is given below the following diagram.the fm0 having the clock and then the x.the clock and then the cycle having the cycle in each transaction. Fig:-2 FM0 encoding Manchester encoding The Manchester encoding is realized with the XOR operation for using the CLOCK and X. The clock always has a transition within the one cycle. Available online: P a g e 1586

4 Fig:-3 Manchester encoding The State Code Principle For Fm0/Manchester The Manchester encoding is an XOR operation only. The FM0 code starts with the FSM principle. The FSM of FM0 code classified into four states. The four states as shown in the below figure. Fig:-4 FSM of FM0 Fig:-5 State diagram Suppose the initial state is S1, and its state code is 11 for A and B, respectively. 1) If the X is logic-0, the state-transition must follow both rules for FM01 and 3. The only one next-state that can gratify both rules for the X of logic-0 is S3. If the X is logic-1, the state-transition must follow both rules for FM0 2 and 3. The only one next-state that can slake both rules for the X of logic-1 is S4. Thus, the state-transition of each state can be plenarily constructed. The FSM of FM0 can withal conduct the transition table of each state A(t) and B(t) represent the discrete-time state code of current-state at time instant t. Their anterior-states are denoted as the A(t 1)and the B(t 1), respectively. With this transition table, the Boolean functions of A(t) and B(t) are given as A(t) = B(t 1) B(t) = X B(t 1) With both A(t) and B(t), the Boolean function of Available online: P a g e 1587

5 FM0 code is denoted as CLK A(t) +~ CLK B(t) 4. EXPERMENTAL RESULTS Fig:-6 Process Fig:-7 Ciructe Fig:-8 Simulation Results 5. CONCLUSION The coding diversity between FM0 and Manchester encodings causes the circumscription on hardware utilization of VLSI architecture design. The plenarily reused VLSI architecture utilizing SOLS technique for both FM0 and Manchester encodings are proposed. The SOLS technique eliminates the inhibition on hardware utilization by two core techniques: Area Compact Retiming and Balance Logic Operation Sharing. The ACR technique relocates the hardware resource to reduce the transistor count. The BLOS efficiently amalgamates the FM0 and Manchester encodings with the identical logic components. The SOLS technique amends the Hardware Utilization Rate (HUR) from 57.14% to 100% for both FM0 and Manchester encodings. The balanced hardware architecture is realized in different CMOS technology. For further reduction in transistor count of the proposed design, the transmission gate logic is considered in the circuit design of MUX_1, MUX_2 and XNOR. This paper not solitary develops a plenarily reused VLSI architecture, but additionally exhibits an efficient Available online: P a g e 1588

6 performance compared with the subsisting works. 6. REFERENCES [1] Fully Reused VLSI Architecture of FM0/Manchester Encoding Using SOLS Technique for DSRC Applications Yu- Hsuan Lee, Member, IEEE, and Cheng-Wei Pan [2] P. Benabes, A. Gauthier, and J. Oksman, A Manchester code generator running at 1 GHz, in Proc. IEEE, Int. Conf. Electron., Circuits Syst., vol. 3. Dec. 2003, pp [3] A. Karagounis, A. Polyzos, B. Kotsos, and N. Assimakis, A 90nm Manchester code generator with CMOS switches running at 2.4 GHz and 5 GHz, in Proc. 16th Int. Conf. Syst., Signals Image Process., Jun. 2009, pp [4] Y.-C. Hung, M.-M. Kuo, C.-K. Tung, and S.-H. Shieh, High-speed CMOS chip design for Manchester and Miller encoder, in Proc. Intell. Inf. Hiding Multimedia Signal Process., Sep. 2009, pp [5] M. A. Khan, M. Sharma, and P. R. Brahmanandha, FSM based FM0 and Miller encoder for UHF RFID tag emulator, in Proc. IEEE Adv. Comput. Conf., Mar. 2009, pp [6] J.-H. Deng, F.-C. Hsiao, and Y.-H. Lin, Top down design of joint MODEM and CODEC detection schemes for DSRC coded-fsk systems over high mobility fading channels, in Proc. Adv. Commun. Technol.Jan. 2013, pp [7] F. Ahmed-Zaid, F. Bai, S. Bai, C. Basnayake, B. Bellur, S. Brovold,et al., Vehicle safety communications Applications (VSC-A) final report, U.S. Dept. Trans., Nat. Highway Traffic Safety Admin., Washington,DC, USA, Rep. DOT HS , Sep [8] J. B. Kenney, Dedicated short-range communications (DSRC) standards in the United States, Proc. IEEE, vol. 99, no. 7, pp ,Jul [9] J. Daniel, V. Taliwal, A. Meier, W. Holfelder, and R. Herrtwich, Design of 5.9 GHz DSRC-based vehicular safety communication, IEEE Wireless Commun. Mag., vol. 13, no. 5, pp , Oct [10] I.-M. Liu, T.-H. Liu, H. Zhou, and A. Aziz, Simultaneous PTL buffer insertion and sizing for minimizing Elmore delay, in Proc. Int.Workshop Logic Synth., May 1998, pp Available online: P a g e 1589

Fully Reconfigured VLSI architecture of FM0, Manchester and Miller Encoder for DSRC Applications

Fully Reconfigured VLSI architecture of FM0, Manchester and Miller Encoder for DSRC Applications Fully Reconfigured VLSI architecture of FM0, Manchester and Miller Encoder for DSRC Applications Ms. Jomcy Rani Xavier 1, Dr. U. A.Kshirsagar 2 1 PG student, ECE Dept., HVPM s CoET, M.S, India 2 HoD.of

More information

Implementation of FM0 and Manchester Encoder for Efficient Hardware Utilization

Implementation of FM0 and Manchester Encoder for Efficient Hardware Utilization IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 6, Issue 6, Ver. III (Nov. - Dec. 2016), PP 12-20 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Implementation of FM0 and

More information

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116

ISSN: [Garade* et al., 6(1): January, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY FULLY REUSED VLSI ARCHITECTURE OF DSRC ENCODERS USING SOLS TECHNIQUE Supriya Shivaji Garade*, Prof. P. R. Badadapure * Department

More information

Design of FM0 and Manchester Encoder and Decoder for DSRC Application Using SOLS Technique

Design of FM0 and Manchester Encoder and Decoder for DSRC Application Using SOLS Technique Design of FM0 and Manchester Encoder and Decoder for DSRC Application Using SOLS Technique G.Anudeep M.Tech (VLSI), CMR Institute of Technology, Hyderabad, India. Mohd.Shahbaz Khan Associate Professor,

More information

Fully Reused VLSI Architecture for DSRC Applications Using SOLS Technique

Fully Reused VLSI Architecture for DSRC Applications Using SOLS Technique Fully Reused VLSI Architecture for DSRC Applications Using SOLS Technique ABSTRACT Mohan R*, Karthikkeyan A R** *Professor, M.P. Nachimuthu M. Jaganathan Engineering College, Erode, India **PG Scholar,

More information

DSRC Applications in Intelligent Transportation System using SOLS Technique for fully reused VLSI Architecture

DSRC Applications in Intelligent Transportation System using SOLS Technique for fully reused VLSI Architecture DSRC Applications in Intelligent Transportation System using SOLS Technique for fully reused VLSI Architecture B. Priyanka PG Scholar Department of ECE Vaagdevi College of Engineering Bollikunta, Warangal

More information

Fully Reused VLSI Architecture of Miller Encoding using SOLS Technique for DSRC Applications

Fully Reused VLSI Architecture of Miller Encoding using SOLS Technique for DSRC Applications Fully Reused VLSI Architecture of Miller Encoding using SOLS Technique for DSRC Applications V. Hemalatha 1, P. Srividhya 2 1 Student, ECE Department, Sri Ramanujar Engineering College, Chennai, India.

More information

Design and Implementation of FPGA based Logic in Memory Multiprocessor Architecture for Multi- Valued Data Transfer Schemes

Design and Implementation of FPGA based Logic in Memory Multiprocessor Architecture for Multi- Valued Data Transfer Schemes Design and Implementation of FPGA based Logic in Memory Multiprocessor Architecture for Multi- Valued Data Transfer Schemes 1 Shaik Nazeer, 2 N. Samba Murthy, 3 Dr. M. Kamaraju 1 PG Student, 2 Asst.Prof,

More information

A Review on various DSRC application of FM0/Manchester encoder-decoder based on VLSI

A Review on various DSRC application of FM0/Manchester encoder-decoder based on VLSI A Review on various DSRC application of FM0/Manchester encoder-decoder based on VLSI Satyam Goswami 1, Ashish Raghuwanshi 2, P. G. Scholar 1, Assistant Professor 2, Department of Electronics and Communication,

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4453-4466 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USING PASS-TRANSISTOR

More information

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver

Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver Gated-Demultiplexer Tree Buffer for Low Power Using Clock Tree Based Gated Driver E.Kanniga 1, N. Imocha Singh 2,K.Selva Rama Rathnam 3 Professor Department of Electronics and Telecommunication, Bharath

More information

Detecting and Correcting the Multiple Errors in Video Coding System

Detecting and Correcting the Multiple Errors in Video Coding System International Journal of Research Studies in Science, Engineering and Technology Volume 2, Issue 8, August 2015, PP 99-106 ISSN 2349-4751 (Print) & ISSN 2349-476X (Online) Detecting and Correcting the

More information

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech)

DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) DYNAMIC CIRCUIT TECHNIQUE FOR LOW- POWER MICROPROCESSORS Kuruva Hanumantha Rao 1 (M.tech) K.Prasad Babu 2 M.tech (Ph.d) hanumanthurao19@gmail.com 1 kprasadbabuece433@gmail.com 2 1 PG scholar, VLSI, St.JOHNS

More information

Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation

Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation LETTER IEICE Electronics Express, Vol.11, No.5, 1 6 Real-time and smooth scalable video streaming system with bitstream extractor intellectual property implementation Liang-Hung Wang 1a), Yi-Mao Hsiao

More information

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017

INTERNATIONAL JOURNAL OF PROFESSIONAL ENGINEERING STUDIES Volume 9 /Issue 3 / OCT 2017 Design of Low Power Adder in ALU Using Flexible Charge Recycling Dynamic Circuit Pallavi Mamidala 1 K. Anil kumar 2 mamidalapallavi@gmail.com 1 anilkumar10436@gmail.com 2 1 Assistant Professor, Dept of

More information

Detecting and Correcting the Multiple Errors in Video Coding System

Detecting and Correcting the Multiple Errors in Video Coding System International Journal of Emerging Engineering Research and Technology Volume 3, Issue 7, July 2015, PP 92-98 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Detecting and Correcting the Multiple Errors

More information

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding

Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding LETTER IEICE Electronics Express, Vol.14, No.21, 1 11 Efficient VLSI Huffman encoder implementation and its application in high rate serial data encoding Rongshan Wei a) and Xingang Zhang College of Physics

More information

Implementation of SCN Based Content Addressable Memory

Implementation of SCN Based Content Addressable Memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 4, Ver. II (Jul.-Aug. 2017), PP 48-52 www.iosrjournals.org Implementation of

More information

Design of Low Power Digital CMOS Comparator

Design of Low Power Digital CMOS Comparator Design of Low Power Digital CMOS Comparator 1 A. Ramesh, 2 A.N.P.S Gupta, 3 D.Raghava Reddy 1 Student of LSI&ES, 2 Assistant Professor, 3 Associate Professor E.C.E Department, Narasaraopeta Institute of

More information

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES

AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES AN EFFICIENT DESIGN OF VLSI ARCHITECTURE FOR FAULT DETECTION USING ORTHOGONAL LATIN SQUARES (OLS) CODES S. SRINIVAS KUMAR *, R.BASAVARAJU ** * PG Scholar, Electronics and Communication Engineering, CRIT

More information

A 65nm Parallel Prefix High Speed Tree based 64-Bit Binary Comparator

A 65nm Parallel Prefix High Speed Tree based 64-Bit Binary Comparator A 65nm Parallel Prefix High Speed Tree based 64-Bit Binary Comparator Er. Deepak sharma Student M-TECH, Yadavindra College of Engineering, Punjabi University, Guru Kashi Campus,Talwandi Sabo. Er. Parminder

More information

Analysis and Design of Low Voltage Low Noise LVDS Receiver

Analysis and Design of Low Voltage Low Noise LVDS Receiver IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. V (Mar - Apr. 2014), PP 10-18 Analysis and Design of Low Voltage Low Noise

More information

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path

Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path Design of a High Speed CAVLC Encoder and Decoder with Parallel Data Path G Abhilash M.Tech Student, CVSR College of Engineering, Department of Electronics and Communication Engineering, Hyderabad, Andhra

More information

Md. Imrul Hassan, Hai L. Vu, and Taka Sakurai Centre for Advanced Internet Architectures, Faculty of ICT Swinburne University, Australia

Md. Imrul Hassan, Hai L. Vu, and Taka Sakurai Centre for Advanced Internet Architectures, Faculty of ICT Swinburne University, Australia Performance Analysis of the IEEE 802.11 MAC Protocol for DSRC with and without Retransmissions Md. Imrul Hassan, Hai L. Vu, and Taka Sakurai Centre for Advanced Internet Architectures, Faculty of ICT Swinburne

More information

Fast Decision of Block size, Prediction Mode and Intra Block for H.264 Intra Prediction EE Gaurav Hansda

Fast Decision of Block size, Prediction Mode and Intra Block for H.264 Intra Prediction EE Gaurav Hansda Fast Decision of Block size, Prediction Mode and Intra Block for H.264 Intra Prediction EE 5359 Gaurav Hansda 1000721849 gaurav.hansda@mavs.uta.edu Outline Introduction to H.264 Current algorithms for

More information

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI.

Keywords: Fast Fourier Transforms (FFT), Multipath Delay Commutator (MDC), Pipelined Architecture, Radix-2 k, VLSI. ww.semargroup.org www.ijvdcs.org ISSN 2322-0929 Vol.02, Issue.05, August-2014, Pages:0294-0298 Radix-2 k Feed Forward FFT Architectures K.KIRAN KUMAR 1, M.MADHU BABU 2 1 PG Scholar, Dept of VLSI & ES,

More information

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION

A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION A LOSSLESS INDEX CODING ALGORITHM AND VLSI DESIGN FOR VECTOR QUANTIZATION Ming-Hwa Sheu, Sh-Chi Tsai and Ming-Der Shieh Dept. of Electronic Eng., National Yunlin Univ. of Science and Technology, Yunlin,

More information

High Performance Interconnect and NoC Router Design

High Performance Interconnect and NoC Router Design High Performance Interconnect and NoC Router Design Brinda M M.E Student, Dept. of ECE (VLSI Design) K.Ramakrishnan College of Technology Samayapuram, Trichy 621 112 brinda18th@gmail.com Devipoonguzhali

More information

DUE to the high computational complexity and real-time

DUE to the high computational complexity and real-time IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS FOR VIDEO TECHNOLOGY, VOL. 15, NO. 3, MARCH 2005 445 A Memory-Efficient Realization of Cyclic Convolution and Its Application to Discrete Cosine Transform Hun-Chen

More information

Three DIMENSIONAL-CHIPS

Three DIMENSIONAL-CHIPS IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) ISSN: 2278-2834, ISBN: 2278-8735. Volume 3, Issue 4 (Sep-Oct. 2012), PP 22-27 Three DIMENSIONAL-CHIPS 1 Kumar.Keshamoni, 2 Mr. M. Harikrishna

More information

Advanced WG and MOWG Stream Cipher with Secured Initial vector

Advanced WG and MOWG Stream Cipher with Secured Initial vector International Journal of Scientific and Research Publications, Volume 5, Issue 12, December 2015 471 Advanced WG and MOWG Stream Cipher with Secured Initial vector Dijomol Alias Pursuing M.Tech in VLSI

More information

A New Architecture of High Performance WG Stream Cipher

A New Architecture of High Performance WG Stream Cipher A New Architecture of High Performance WG Stream Cipher Grace Mary S. 1, Abhila R. Krishna 2 1 P G Scholar, VLSI and Embedded Systems, Department of ECE T K M Institute of Technology, Kollam, India 2 Assistant

More information

COOPERATIVE DATA SHARING WITH SECURITY IN VEHICULAR AD-HOC NETWORKS

COOPERATIVE DATA SHARING WITH SECURITY IN VEHICULAR AD-HOC NETWORKS COOPERATIVE DATA SHARING WITH SECURITY IN VEHICULAR AD-HOC NETWORKS Deepa B 1 and Dr. S A Kulkarni 2 1 IV Sem M. Tech, Dept of CSE, KLS Gogte Institute of Technology, Belagavi deepa.bangarshetru@gmail.com

More information

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance

Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Power Optimized Transition and Forbidden Free Pattern Crosstalk Avoidance Sigmala Mani Raju PG Scholar, Dept of ECE, Global College of Engineering & Technology, Kadapa, YSR (Dt), AP, India. Abstract: In

More information

ASIC IMPLEMENTATION OF 16 BIT CARRY SELECT ADDER

ASIC IMPLEMENTATION OF 16 BIT CARRY SELECT ADDER ASIC IMPLEMENTATION OF 16 BIT CARRY SELECT ADDER Nomula Poojaramani 1, A.Vikram 2 1 Student, Sree Chaitanya Institute Of Tech. Sciences, Karimnagar, Telangana, INDIA 2 Assistant Professor, Sree Chaitanya

More information

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 04, 2015 ISSN (online): 2321-0613 Design of Low Power Asynchronous Parallel Adder Benedicta Roseline. R 1 Kamatchi. S 2

More information

A Proposed RAISIN for BISR for RAM s with 2D Redundancy

A Proposed RAISIN for BISR for RAM s with 2D Redundancy A Proposed RAISIN for BISR for RAM s with 2D Redundancy Vadlamani Sai Shivoni MTech Student Department of ECE Malla Reddy College of Engineering and Technology Anitha Patibandla, MTech (PhD) Associate

More information

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code

Architecture to Detect and Correct Error in Motion Estimation of Video System Based on RQ Code International Journal of Emerging Engineering Research and Technology Volume 3, Issue 7, July 2015, PP 152-159 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Architecture to Detect and Correct Error

More information

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE

HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE HIGH-PERFORMANCE RECONFIGURABLE FIR FILTER USING PIPELINE TECHNIQUE Anni Benitta.M #1 and Felcy Jeba Malar.M *2 1# Centre for excellence in VLSI Design, ECE, KCG College of Technology, Chennai, Tamilnadu

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 1, JANUARY 2009 81 Bit-Level Extrinsic Information Exchange Method for Double-Binary Turbo Codes Ji-Hoon Kim, Student Member,

More information

FIR Filter Architecture for Fixed and Reconfigurable Applications

FIR Filter Architecture for Fixed and Reconfigurable Applications FIR Filter Architecture for Fixed and Reconfigurable Applications Nagajyothi 1,P.Sayannna 2 1 M.Tech student, Dept. of ECE, Sudheer reddy college of Engineering & technology (w), Telangana, India 2 Assosciate

More information

Optimized CAM Design

Optimized CAM Design Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2640-2645 ISSN: 2249-6645 Optimized CAM Design S. Haroon Rasheed 1, M. Anand Vijay Kamalnath 2 Department of ECE, AVR & SVR E C T, Nandyal, India Abstract: Content-addressable

More information

RECENTLY, researches on gigabit wireless personal area

RECENTLY, researches on gigabit wireless personal area 146 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 55, NO. 2, FEBRUARY 2008 An Indexed-Scaling Pipelined FFT Processor for OFDM-Based WPAN Applications Yuan Chen, Student Member, IEEE,

More information

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL

International Journal of Advance Engineering and Research Development LOW POWER AND HIGH PERFORMANCE MSML DESIGN FOR CAM USE OF MODIFIED XNOR CELL Scientific Journal of Impact Factor (SJIF): 5.71 e-issn (O): 2348-4470 p-issn (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 5, Issue 04, April -2018 LOW POWER

More information

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis

A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis A Countermeasure Circuit for Secure AES Engine against Differential Power Analysis V.S.Subarsana 1, C.K.Gobu 2 PG Scholar, Member IEEE, SNS College of Engineering, Coimbatore, India 1 Assistant Professor

More information

Implementation of Crosstalk Elimination Using Digital Patterns Methodology

Implementation of Crosstalk Elimination Using Digital Patterns Methodology Implementation of Crosstalk Elimination Using Digital Patterns Methodology Kolli Kalpana M.Tech Student Department of ECE Nova College of Engineering and Technology, Ibrahimpatnam, Vijayawada, Krishna,

More information

ENSC 427, Spring 2012

ENSC 427, Spring 2012 ENSC 427, Spring 2012 Outline A Study of VANET Networks Introduction DSRC channel allocation Standards : IEEE 802.11p + IEEE 1604 PHY LAYER MAC LAYER Communication Walkthrough Ns-3, Node Mobility, SUMO

More information

Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation

Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation Parallel, Single-Rail Self-Timed Adder. Formulation for Performing Multi Bit Binary Addition. Without Any Carry Chain Propagation Y.Gowthami PG Scholar, Dept of ECE, MJR College of Engineering & Technology,

More information

ISSN Vol.08,Issue.12, September-2016, Pages:

ISSN Vol.08,Issue.12, September-2016, Pages: ISSN 2348 2370 Vol.08,Issue.12, September-2016, Pages:2273-2277 www.ijatir.org G. DIVYA JYOTHI REDDY 1, V. ROOPA REDDY 2 1 PG Scholar, Dept of ECE, TKR Engineering College, Hyderabad, TS, India, E-mail:

More information

the main limitations of the work is that wiring increases with 1. INTRODUCTION

the main limitations of the work is that wiring increases with 1. INTRODUCTION Design of Low Power Speculative Han-Carlson Adder S.Sangeetha II ME - VLSI Design, Akshaya College of Engineering and Technology, Coimbatore sangeethasoctober@gmail.com S.Kamatchi Assistant Professor,

More information

Medium Access Protocols for Cooperative Collision Avoidance in Vehicular Ad-Hoc Networks

Medium Access Protocols for Cooperative Collision Avoidance in Vehicular Ad-Hoc Networks Medium Access Protocols for Cooperative Collision Avoidance in Vehicular Ad-Hoc Networks Md. Imrul Hassan ihassan@swin.edu.au Centre for Advanced Internet Architecture (CAIA) Swinburne University of Technology

More information

Available online at ScienceDirect. Procedia Technology 24 (2016 )

Available online at   ScienceDirect. Procedia Technology 24 (2016 ) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 24 (2016 ) 790 795 International Conference on Emerging Trends in Engineering, Science and Technology (ICETEST - 2015) Implementation

More information

Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation

Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation Formulation for Performing Multi Bit Binary Addition using Parallel, Single-Rail Self-Timed Adder without Any Carry Chain Propagation Y. Gouthami PG Scholar, Department of ECE, MJR College of Engineering

More information

The Serial Commutator FFT

The Serial Commutator FFT The Serial Commutator FFT Mario Garrido Gálvez, Shen-Jui Huang, Sau-Gee Chen and Oscar Gustafsson Journal Article N.B.: When citing this work, cite the original article. 2016 IEEE. Personal use of this

More information

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code

COPY RIGHT. To Secure Your Paper As Per UGC Guidelines We Are Providing A Electronic Bar Code COPY RIGHT 2018IJIEMR.Personal use of this material is permitted. Permission from IJIEMR must be obtained for all other uses, in any current or future media, including reprinting/republishing this material

More information

VERY large scale integration (VLSI) design for power

VERY large scale integration (VLSI) design for power IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 7, NO. 1, MARCH 1999 25 Short Papers Segmented Bus Design for Low-Power Systems J. Y. Chen, W. B. Jone, Member, IEEE, J. S. Wang,

More information

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR LOGIC FAMILIES

DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR LOGIC FAMILIES Volume 120 No. 6 2018, 4163-4178 ISSN: 1314-3395 (on-line version) url: http://www.acadpubl.eu/hub/ http://www.acadpubl.eu/hub/ DESIGN AND SIMULATION OF 1 BIT ARITHMETIC LOGIC UNIT DESIGN USINGPASS-TRANSISTOR

More information

Implementation of Asynchronous Topology using SAPTL

Implementation of Asynchronous Topology using SAPTL Implementation of Asynchronous Topology using SAPTL NARESH NAGULA *, S. V. DEVIKA **, SK. KHAMURUDDEEN *** *(senior software Engineer & Technical Lead, Xilinx India) ** (Associate Professor, Department

More information

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY

DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY DESIGN OF PARAMETER EXTRACTOR IN LOW POWER PRECOMPUTATION BASED CONTENT ADDRESSABLE MEMORY Saroja pasumarti, Asst.professor, Department Of Electronics and Communication Engineering, Chaitanya Engineering

More information

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC

IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC IMPLEMENTATION OF AN ADAPTIVE FIR FILTER USING HIGH SPEED DISTRIBUTED ARITHMETIC Thangamonikha.A 1, Dr.V.R.Balaji 2 1 PG Scholar, Department OF ECE, 2 Assitant Professor, Department of ECE 1, 2 Sri Krishna

More information

IMPLEMENTATION OF DIGITAL CMOS COMPARATOR USING PARALLEL PREFIX TREE

IMPLEMENTATION OF DIGITAL CMOS COMPARATOR USING PARALLEL PREFIX TREE Int. J. Engg. Res. & Sci. & Tech. 2014 Nagaswapna Manukonda and H Raghunadh Rao, 2014 Research Paper ISSN 2319-5991 www.ijerst.com Vol. 3, No. 4, November 2014 2014 IJERST. All Rights Reserved IMPLEMENTATION

More information

Remote Monitoring System of Ship Running State under Wireless Network

Remote Monitoring System of Ship Running State under Wireless Network Journal of Shipping and Ocean Engineering 7 (2017) 181-185 doi 10.17265/2159-5879/2017.05.001 D DAVID PUBLISHING Remote Monitoring System of Ship Running State under Wireless Network LI Ning Department

More information

GENERATION OF PSEUDO-RANDOM NUMBER BY USING WELL AND RESEEDING METHOD. V.Divya Bharathi 1, Arivasanth.M 2

GENERATION OF PSEUDO-RANDOM NUMBER BY USING WELL AND RESEEDING METHOD. V.Divya Bharathi 1, Arivasanth.M 2 GENERATION OF PSEUDO-RANDOM NUMBER BY USING WELL AND RESEEDING METHOD V.Divya Bharathi 1, Arivasanth.M 2 1 PG Scholar, M.E-VLSI Design,Srinivasan Engineering College, Perambalur, TamilNadu, India. 2 Assistant

More information

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction

10/21/2016. ECE 120: Introduction to Computing. Let s Extend Our Keyless Entry FSM. FSM Designs Also Allow Use of Abstraction University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Extending Keyless Entry Combinational Logic Design Allows Use of Abstraction Recall

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing

A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing A 167-processor Computational Array for Highly-Efficient DSP and Embedded Application Processing Dean Truong, Wayne Cheng, Tinoosh Mohsenin, Zhiyi Yu, Toney Jacobson, Gouri Landge, Michael Meeuwsen, Christine

More information

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding

A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding A Low Power Asynchronous FPGA with Autonomous Fine Grain Power Gating and LEDR Encoding N.Rajagopala krishnan, k.sivasuparamanyan, G.Ramadoss Abstract Field Programmable Gate Arrays (FPGAs) are widely

More information

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO

A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO 2402 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 6, JUNE 2016 A Normal I/O Order Radix-2 FFT Architecture to Process Twin Data Streams for MIMO Antony Xavier Glittas,

More information

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA

Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Implementation of a Dual-Mode SDR Smart Antenna Base Station Supporting WiBro and TDD HSDPA Jongeun Kim, Sukhwan Mun, Taeyeol Oh,Yusuk Yun, Seungwon Choi 1 HY-SDR Research Center, Hanyang University, Seoul,

More information

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier

VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier VLSI Design and Implementation of High Speed and High Throughput DADDA Multiplier U.V.N.S.Suhitha Student Department of ECE, BVC College of Engineering, AP, India. Abstract: The ever growing need for improved

More information

FPGA Based Low Area Motion Estimation with BISCD Architecture

FPGA Based Low Area Motion Estimation with BISCD Architecture www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 3 Issue 10 October, 2014 Page No. 8610-8614 FPGA Based Low Area Motion Estimation with BISCD Architecture R.Pragathi,

More information

Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL

Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL Recursive Approach for Design of a Parallel Self-Timed Adder Using Verilog HDL Kairamkonda Srinivas M.Tech, Sreyas Institute of Engineering and Technology. G.Ramachandra Kumar, M.Tech Assistant Professor,

More information

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785

[Indu*, 5(4): April, 2016] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY GLITCH-FREE NAND-BASED DIGITALLY CONTROLLED DELAY LINES M.Indu*, S.HasmashruthiA.Nandhini, N.Megala Electronics and Communication

More information

ISSN Vol.02, Issue.11, December-2014, Pages:

ISSN Vol.02, Issue.11, December-2014, Pages: ISSN 2322-0929 Vol.02, Issue.11, December-2014, Pages:1119-1123 www.ijvdcs.org High Speed and Area Efficient Radix-2 2 Feed Forward FFT Architecture ARRA ASHOK 1, S.N.CHANDRASHEKHAR 2 1 PG Scholar, Dept

More information

Digit-Level Semi-Systolic and Systolic Structures for the Shifted Polynomial Basis Multiplication Over Binary Extension Fields

Digit-Level Semi-Systolic and Systolic Structures for the Shifted Polynomial Basis Multiplication Over Binary Extension Fields IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 19, NO. 11, NOVEMBER 211 2125 [1] B. Calhoun and A. Chandrakasan, Static noise margin variation for sub-threshold SRAM in 65-nm CMOS,

More information

CONTENT ADAPTIVE SCREEN IMAGE SCALING

CONTENT ADAPTIVE SCREEN IMAGE SCALING CONTENT ADAPTIVE SCREEN IMAGE SCALING Yao Zhai (*), Qifei Wang, Yan Lu, Shipeng Li University of Science and Technology of China, Hefei, Anhui, 37, China Microsoft Research, Beijing, 8, China ABSTRACT

More information

Design of local ESD clamp for cross-power-domain interface circuits

Design of local ESD clamp for cross-power-domain interface circuits This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Design of local ESD clamp for cross-power-domain

More information

A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM

A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM A NOVEL APPROACH FOR A HIGH PERFORMANCE LOSSLESS CACHE COMPRESSION ALGORITHM K. Janaki 1, K. Indhumathi 2, P. Vijayakumar 3 and K. Ashok Kumar 4 1 Department of Electronics and Communication Engineering,

More information

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure

Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Reliability of Memory Storage System Using Decimal Matrix Code and Meta-Cure Iswarya Gopal, Rajasekar.T, PG Scholar, Sri Shakthi Institute of Engineering and Technology, Coimbatore, Tamil Nadu, India Assistant

More information

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator

Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator Implementation of Efficient Modified Booth Recoder for Fused Sum-Product Operator A.Sindhu 1, K.PriyaMeenakshi 2 PG Student [VLSI], Dept. of ECE, Muthayammal Engineering College, Rasipuram, Tamil Nadu,

More information

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT

VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT VLSI ARCHITECTURE FOR NANO WIRE BASED ADVANCED ENCRYPTION STANDARD (AES) WITH THE EFFICIENT MULTIPLICATIVE INVERSE UNIT K.Sandyarani 1 and P. Nirmal Kumar 2 1 Research Scholar, Department of ECE, Sathyabama

More information

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression

A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression A Reconfigured Twisted Ring Counter Using Tristate Coding For Test Data Compression 1 R.Kanagavalli, 2 Dr.O.Saraniya 1 PG Scholar, 2 Assistant Professor Department of Electronics and Communication Engineering,

More information

Design and Implementation of 3-D DWT for Video Processing Applications

Design and Implementation of 3-D DWT for Video Processing Applications Design and Implementation of 3-D DWT for Video Processing Applications P. Mohaniah 1, P. Sathyanarayana 2, A. S. Ram Kumar Reddy 3 & A. Vijayalakshmi 4 1 E.C.E, N.B.K.R.IST, Vidyanagar, 2 E.C.E, S.V University

More information

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.4, AUGUST, 2014 http://dx.doi.org/10.5573/jsts.2014.14.4.407 High Throughput Radix-4 SISO Decoding Architecture with Reduced Memory Requirement

More information

VLSI Implementation of Parallel CRC Using Pipelining, Unfolding and Retiming

VLSI Implementation of Parallel CRC Using Pipelining, Unfolding and Retiming IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 2, Issue 5 (May. Jun. 203), PP 66-72 e-issn: 239 4200, p-issn No. : 239 497 VLSI Implementation of Parallel CRC Using Pipelining, Unfolding

More information

Volume 5, Issue 5 OCT 2016

Volume 5, Issue 5 OCT 2016 DESIGN AND IMPLEMENTATION OF REDUNDANT BASIS HIGH SPEED FINITE FIELD MULTIPLIERS Vakkalakula Bharathsreenivasulu 1 G.Divya Praneetha 2 1 PG Scholar, Dept of VLSI & ES, G.Pullareddy Eng College,kurnool

More information

RECOMMENDATION ITU-R M Intelligent transport systems dedicated short range communications at 5.8 GHz

RECOMMENDATION ITU-R M Intelligent transport systems dedicated short range communications at 5.8 GHz Rec. ITU-R M.1453-2 1 RECOMMENDATION ITU-R M.1453-2 Intelligent transport systems dedicated short range communications at 5.8 GHz (Question ITU-R 205/8) (2000-2002-2005) Scope This Recommendation outlines

More information

Keywords: Processing Element, Motion Estimation, BIST, Error Detection, Error Correction, Residue-Quotient(RQ) Code.

Keywords: Processing Element, Motion Estimation, BIST, Error Detection, Error Correction, Residue-Quotient(RQ) Code. ISSN 2319-8885 Vol.03,Issue.31 October-2014, Pages:6116-6120 www.ijsetr.com FPGA Implementation of Error Detection and Correction Architecture for Motion Estimation in Video Coding Systems ZARA NILOUFER

More information

THE orthogonal frequency-division multiplex (OFDM)

THE orthogonal frequency-division multiplex (OFDM) 26 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 1, JANUARY 2010 A Generalized Mixed-Radix Algorithm for Memory-Based FFT Processors Chen-Fong Hsiao, Yuan Chen, Member, IEEE,

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6

ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 ISSCC 2003 / SESSION 2 / MULTIMEDIA SIGNAL PROCESSING / PAPER 2.6 2.6 A 51.2GOPS Scalable Video Recognition Processor for Intelligent Cruise Control Based on a Linear Array of 128 4-Way VLIW Processing

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

LOW-DENSITY parity-check (LDPC) codes, which are defined

LOW-DENSITY parity-check (LDPC) codes, which are defined 734 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 56, NO. 9, SEPTEMBER 2009 Design of a Multimode QC-LDPC Decoder Based on Shift-Routing Network Chih-Hao Liu, Chien-Ching Lin, Shau-Wei

More information

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX

DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX DETECTION AND CORRECTION OF CELL UPSETS USING MODIFIED DECIMAL MATRIX ENDREDDY PRAVEENA 1 M.T.ech Scholar ( VLSID), Universal College Of Engineering & Technology, Guntur, A.P M. VENKATA SREERAJ 2 Associate

More information

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY

DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY DESIGN OF FAULT SECURE ENCODER FOR MEMORY APPLICATIONS IN SOC TECHNOLOGY K.Maheshwari M.Tech VLSI, Aurora scientific technological and research academy, Bandlaguda, Hyderabad. k.sandeep kumar Asst.prof,

More information

Design of Delay Efficient Carry Save Adder

Design of Delay Efficient Carry Save Adder Design of Delay Efficient Carry Save Adder K. Deepthi Assistant Professor,M.Tech., Department of ECE MIC College of technology Vijayawada, India M.Jayasree (PG scholar) Department of ECE MIC College of

More information

Car Information Systems for ITS

Car Information Systems for ITS Car Information Systems for ITS 102 Car Information Systems for ITS Kozo Nakamura Ichiro Hondo Nobuo Hataoka, Ph.D. Shiro Horii OVERVIEW: For ITS (intelligent transport systems) car information systems,

More information

International Conference on Information Sciences, Machinery, Materials and Energy (ICISMME 2015)

International Conference on Information Sciences, Machinery, Materials and Energy (ICISMME 2015) International Conference on Information Sciences, Machinery, Materials and Energy (ICISMME 2015) ARINC - 429 airborne communications transceiver system based on FPGA implementation Liu Hao 1,Gu Cao 2,MA

More information

Performance Evaluation of Digital CMOS Comparator Using Parallel Prefix Tree.

Performance Evaluation of Digital CMOS Comparator Using Parallel Prefix Tree. Performance Evaluation of Digital CMOS Comparator Using Parallel Prefix Tree. 1 D. Swathi 2 T. Arun Prasad 1 PG Scholar, Sri Sarada Institute of science and Technology, Anantharam (v), Bhongir(m), Nalgonda

More information

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm

Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm Low Power and Memory Efficient FFT Architecture Using Modified CORDIC Algorithm 1 A.Malashri, 2 C.Paramasivam 1 PG Student, Department of Electronics and Communication K S Rangasamy College Of Technology,

More information