Evaluating the Error Resilience of Parallel Programs

Size: px
Start display at page:

Download "Evaluating the Error Resilience of Parallel Programs"

Transcription

1 Evaluating the Error Resilience of Parallel Programs Bo Fang, Karthik Pattabiraman, Matei Ripeanu, The University of British Columbia Sudhanva Gurumurthi AMD Research 1

2 Reliability trends The soft error rate per chip will continue to increase [Constantinescu, Micro 03; Shivakumar, DSN 02] ASC Q Supercomputer at LANL encountered 27.7 CPU failures per week [Michalak, IEEE transactions on device and materials reliability 05] 2

3 Goal Investigate the error- resilience characteristics of applications Application- specific, software- based, fault tolerance mechanisms Find correlation between error resilience characteristics and application properties 3

4 Previous Study on GPUs Understand the error resilience of GPU applications by building a methodology and tool called GPU- Qin [Fang, ISPASS 14] 50% 40% SDC rate 30% 20% 10% 0% AES HashGPU-md5 HashGPU-sha1 MRI-Q MAT Transpose SAD-k0 SAD-k1 SAD-k2 Stencil SCAN-block MONTE MergeSort-k0 BFS LBM Benchmarks 4

5 Operations and Resilience of GPU Applications Operations Benchmarks Measured SDC Comparison- based MergeSort 6% Bit- wise Operation HashGPU, AES 25% - 37% Average- out Effect Stencil, MONTE 1% - 5% Graph Processing BFS 10% Linear Algebra Transpose, MAT, MRI- Q, SCAN- block, LBM, SAD 15% - 38% 5

6 This paper: OpenMP programs Thread model Master thread Start Program structure Input processing Pre algorithm Parallel region Begin: read_graphics(image_orig); image = image_setup(image_orig); scale_down(image) #pragma omp parallel for shared(var_list1)... Master thread Master thread End Slave threads Post algorithm Output processing scale_up(image) write_graphics(image); End 6

7 Evaluate the error resilience Naïve approach: Randomly choose thread and instruction to inject NOT working: biasing the FT design Challenges: C1: exposing the thread model C2: exposing the program structure 7

8 Methodology Controlled fault injection: fault injection in master and slave threads separately (C1) Integrate with the thread ID Identify the boundaries of segments (c2) Identify the range of the instructions for each segment by using source- code to instruction- level mapping LLVM IR level (assembly- like, yet captures the program structure) 8

9 LLFI and our extension Start Fault injection Add support instruction/ for structure register selector and thread selection(c1) Instrument IR code of the program with function calls Compile time Specify code region and thread to inject (C1 & C2) Custom fault injector Yes Fault injection executable Inject? No Next instruction Profiling executable Collect statistics on per- thread basis (C1) Runtime 9

10 Fault Model Transient faults Single- bit flip No cost to extend to multiple- bit flip Faults in arithmetic and logic unit(alu), floating point Unit (FPU) and the load- store unit(lsu, memory- address computation only). Assume memory and cache are ECC protected; do not consider control logic of processor (e.g. instruction scheduling mechanism) 10

11 Characterization study Intel Xeon CPU 24 hardware cores Outcomes of experiment: Benign: correct output Crash: exceptions from the system or application Silent Data Corruption (SDC): incorrect output Hang: finish in considerably long time 10,000 fault injection runs for each benchmark (to achieve < 1% error bar) 11

12 Details about benchmarks 8 Applications from Rodinia benchmark suite Benchmark Bread- first- search LU Decomposition K- nearest neighbor Hotspot Needleman- Wunsch Pathfinder SRAD Kmeans Acronym bfs lud nn hotspot nw pathfinder srad kmeans 12

13 Difference of SDC rates Compare the SDC rates for 50% 40% 30% 20% 10% 0% 1. Injecting into master thread only 2. Injecting into a random slave thread It shows the importance of differentiating between the master and slave threads 60% SDC rate of slave threads For correct SDC characterization rate of the master thread For selective fault tolerance mechanisms Master threads have higher SDC rates than slave threads (except for lud) Biggest: 16% in pathfinder; Average: 7.8% 13

14 Differentiating between program segments 60% 50% 40% 30% 20% 10% Faults occur in each of the program segments Input processing Pre-algorithm Parallel region Post-algorithm Output processing 0% bfs lud hotspot nw pathfinder srad kmeans 14

15 Mean and standard deviation of SDC rates for each segment Segment Number of applications Input Processing Pre- algorithm Parallel Segment Post- algorithm Output processing Mean 28.6% 20.3% 16.1% 27% 42.4% Standard Deviation 11% 23% 14% 13% 5% Output processing has the highest mean SDC rate, and also the lowest standard deviation 15

16 Grouping by operations Operations Benchmarks Measured SDC Operations Measured SDC Comparison- based Grid computation Average- out Effect Graph Processing nn, nw less than 1% hotspot, srad 23% kmeans 4.2% bfs, pathfinder Linear Algebra lud 44% Comparison- based Bit- wise Operation Comparison- based and average- out operations show the lowest SDC rates in Average- out both cases Effect Linear algebra 9% ~ and 10% grid computation, Graph which are regular computation Processing patterns, give the highest SDC rates 6% 25% - 37% 1% - 5% 10% Linear Algebra 15% - 38% 16

17 Future work Operations Benchmarks Measured SDC Operations Measured SDC Comparison- based nn, nw less than 1% Comparison- based 6% Grid computation hotspot, srad 23% Bit- wise Operation 25% - 37% Average- out Effect kmeans 4.2% Average- out Effect 1% - 5% Graph Processing bfs, pathfinder 9% ~ 10% Linear Algebra lud 44% Graph Processing 10% Linear Algebra 15% - 38% Understand variance and investigate more applications Compare CPUs and GPUs Same applications Same level of abstraction 17

18 Conclusion Error resilience characterization of OpenMP programs needs to take into account the thread model and the program structure. Preliminary support for our hypothesis that error resilience properties do correlate with the algorithmic characteristics of parallel applications. Project website: 18

19 Backup slide SDC rates converge AES# MAT# MergeSort5k0# HASHGPU5md5# HASHGPU5sha1# LBM# Transpose# BFS# SCAN# MRI5Q# Stencil# SAD5k1# SAD5k2# SAD5k3# MONTE# 50%# 45%# 40%# 35%# 30%# 25%# 20%# 15%# 10%# 5%# 0%# 200# 400# 600# 800# 1000# 1200# 1400# 1600# 1800# 2000# 2200# 2400# 2600# 2800# 19

LLFI: An Intermediate Code-Level Fault Injection Tool for Hardware Faults

LLFI: An Intermediate Code-Level Fault Injection Tool for Hardware Faults LLFI: An Intermediate Code-Level Fault Injection Tool for Hardware Faults Qining Lu, Mostafa Farahani, Jiesheng Wei, Anna Thomas, and Karthik Pattabiraman Department of Electrical and Computer Engineering,

More information

Fault Injection into GPGPU- Applications using GPU-Qin

Fault Injection into GPGPU- Applications using GPU-Qin Fault Injection into GPGPU- Applications using GPU-Qin Anne Gropler, Hasso-Plattner-Institute Prof. Dr. Andreas Polze, Lena Herscheid, M.Sc., Daniel Richter, M.Sc. Seminar: Fault Injection 25/06/15 Motivation

More information

GPU-Qin: A Methodology for Evaluating the Error Resilience of GPGPU Applications

GPU-Qin: A Methodology for Evaluating the Error Resilience of GPGPU Applications GPU-Qin: A Methodology for Evaluating the Error Resilience of GPGPU Applications Bo Fang, Karthik Pattabiraman, Matei Ripeanu, Sudhanva Gurumurthi Department of Electrical and Computer Engineering University

More information

Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance

Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance Outline Introduction and Motivation Software-centric Fault Detection Process-Level Redundancy Experimental Results

More information

Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search

Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search Techniques Nithya Narayanamurthy Karthik Pattabiraman Matei Ripeanu University of British Columbia (UBC) 1 Motivation: Hardware

More information

One Bit is (Not) Enough: An Empirical Study of the Impact of Single and Multiple. Bit-Flip Errors

One Bit is (Not) Enough: An Empirical Study of the Impact of Single and Multiple. Bit-Flip Errors One Bit is (Not) Enough: An Empirical Study of the Impact of Single and Multiple Bit-Flip Errors Behrooz Sangchoolie *, Karthik Pattabiraman +, Johan Karlsson * * Department of Computer Science and Engineering,

More information

Position Paper: OpenMP scheduling on ARM big.little architecture

Position Paper: OpenMP scheduling on ARM big.little architecture Position Paper: OpenMP scheduling on ARM big.little architecture Anastasiia Butko, Louisa Bessad, David Novo, Florent Bruguier, Abdoulaye Gamatié, Gilles Sassatelli, Lionel Torres, and Michel Robert LIRMM

More information

Towards Building Error Resilient GPGPU Applications

Towards Building Error Resilient GPGPU Applications Towards Building Error Resilient GPGPU Applications Bo Fang, Jiesheng Wei, Karthik Pattabiraman, Matei Ripeanu Department of Electrical and Computer Engineering University of British Columbia Vancouver,

More information

Radiation-Induced Error Criticality In Modern HPC Parallel Accelerators

Radiation-Induced Error Criticality In Modern HPC Parallel Accelerators Radiation-Induced Error Criticality In Modern HPC Parallel Accelerators Presented by: Christopher Boggs, Clayton Connors on 09/26/2018 Authored by: Daniel Oliveira, Laercio Pilla, Mauricio Hanzich, Vinicius

More information

FPGA-based Supercomputing: New Opportunities and Challenges

FPGA-based Supercomputing: New Opportunities and Challenges FPGA-based Supercomputing: New Opportunities and Challenges Naoya Maruyama (RIKEN AICS)* 5 th ADAC Workshop Feb 15, 2018 * Current Main affiliation is Lawrence Livermore National Laboratory SIAM PP18:

More information

Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search Techniques

Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search Techniques Finding Resilience-Friendly Compiler Optimizations using Meta-Heuristic Search Techniques Nithya Narayanamurthy, Karthik Pattabiraman, and Matei Ripeanu, Department of Electrical and Computer Engineering,

More information

arxiv: v1 [cs.dc] 18 Apr 2017

arxiv: v1 [cs.dc] 18 Apr 2017 Benchmarking, OpenACC, OpenMP, and : programming productivity, performance, and energy consumption Suejb Memeti 1 Lu Li 2 Sabri Pllana 3 Joanna Ko lodziej 4 Christoph Kessler 5 Preprint arxiv:174.5316v1

More information

Error Detector Placement for Soft Computation

Error Detector Placement for Soft Computation Error Detector Placement for Soft Computation Anna Thomas and Karthik Pattabiraman Department of Electrical and Computer Engineering, University of British Columbia (UBC), Vancouver {annat, karthikp}@ece.ubc.ca

More information

Yunsup Lee UC Berkeley 1

Yunsup Lee UC Berkeley 1 Yunsup Lee UC Berkeley 1 Why is Supporting Control Flow Challenging in Data-Parallel Architectures? for (i=0; i

More information

Predicting GPU Performance from CPU Runs Using Machine Learning

Predicting GPU Performance from CPU Runs Using Machine Learning Predicting GPU Performance from CPU Runs Using Machine Learning Ioana Baldini Stephen Fink Erik Altman IBM T. J. Watson Research Center Yorktown Heights, NY USA 1 To exploit GPGPU acceleration need to

More information

An Investigation of Unified Memory Access Performance in CUDA

An Investigation of Unified Memory Access Performance in CUDA An Investigation of Unified Memory Access Performance in CUDA Raphael Landaverde, Tiansheng Zhang, Ayse K. Coskun and Martin Herbordt Electrical and Computer Engineering Department, Boston University,

More information

Turbostream: A CFD solver for manycore

Turbostream: A CFD solver for manycore Turbostream: A CFD solver for manycore processors Tobias Brandvik Whittle Laboratory University of Cambridge Aim To produce an order of magnitude reduction in the run-time of CFD solvers for the same hardware

More information

FIDL. A Fault Injection Description Language for Compiler-based Tools

FIDL. A Fault Injection Description Language for Compiler-based Tools FIDL A Fault Injection Description Language for Compiler-based Tools Maryam Raiyat Aliabadi Karthik Pattabiraman University of British Columbia (UBC) Canada Introduction FI: A systematic way to model faults

More information

gem5-gpu Extending gem5 for GPGPUs Jason Power, Marc Orr, Joel Hestness, Mark Hill, David Wood

gem5-gpu Extending gem5 for GPGPUs Jason Power, Marc Orr, Joel Hestness, Mark Hill, David Wood gem5-gpu Extending gem5 for GPGPUs Jason Power, Marc Orr, Joel Hestness, Mark Hill, David Wood (powerjg/morr)@cs.wisc.edu UW-Madison Computer Sciences 2012 gem5-gpu gem5 + GPGPU-Sim (v3.0.1) Flexible memory

More information

Technology for a better society. hetcomp.com

Technology for a better society. hetcomp.com Technology for a better society hetcomp.com 1 J. Seland, C. Dyken, T. R. Hagen, A. R. Brodtkorb, J. Hjelmervik,E Bjønnes GPU Computing USIT Course Week 16th November 2011 hetcomp.com 2 9:30 10:15 Introduction

More information

Locality-Aware Mapping of Nested Parallel Patterns on GPUs

Locality-Aware Mapping of Nested Parallel Patterns on GPUs Locality-Aware Mapping of Nested Parallel Patterns on GPUs HyoukJoong Lee *, Kevin Brown *, Arvind Sujeeth *, Tiark Rompf, Kunle Olukotun * * Pervasive Parallelism Laboratory, Stanford University Purdue

More information

The Affinity Effects of Parallelized Libraries in Concurrent Environments. Abstract

The Affinity Effects of Parallelized Libraries in Concurrent Environments. Abstract The Affinity Effects of Parallelized Libraries in Concurrent Environments FABIO LICHT, BRUNO SCHULZE, LUIS E. BONA, AND ANTONIO R. MURY 1 Federal University of Parana (UFPR) licht@lncc.br Abstract The

More information

Automated Derivation of Application-specific Error Detectors Using Dynamic Analysis

Automated Derivation of Application-specific Error Detectors Using Dynamic Analysis IEEE TRANSACTIONS ON Dependable and Secure Computing, TDSC-2008-11-0184 Automated Derivation of Application-specific Error Detectors Using Dynamic Analysis 1 Karthik Pattabiraman (Member, IEEE), Giacinto

More information

Fault Injection Seminar

Fault Injection Seminar Fault Injection Seminar Summer Semester 2015 Daniel Richter, Lena Herscheid, Prof. Andreas Polze Operating Systems and Middleware Group Hasso Plattner Institute 23/04/2015 Fault Injection Seminar 1 Dependability

More information

Elaborazione dati real-time su architetture embedded many-core e FPGA

Elaborazione dati real-time su architetture embedded many-core e FPGA Elaborazione dati real-time su architetture embedded many-core e FPGA DAVIDE ROSSI A L E S S A N D R O C A P O T O N D I G I U S E P P E T A G L I A V I N I A N D R E A M A R O N G I U C I R I - I C T

More information

Offload acceleration of scientific calculations within.net assemblies

Offload acceleration of scientific calculations within.net assemblies Offload acceleration of scientific calculations within.net assemblies Lebedev A. 1, Khachumov V. 2 1 Rybinsk State Aviation Technical University, Rybinsk, Russia 2 Institute for Systems Analysis of Russian

More information

Parallel Computing. November 20, W.Homberg

Parallel Computing. November 20, W.Homberg Mitglied der Helmholtz-Gemeinschaft Parallel Computing November 20, 2017 W.Homberg Why go parallel? Problem too large for single node Job requires more memory Shorter time to solution essential Better

More information

Large Scale Debugging of Parallel Tasks with AutomaDeD!

Large Scale Debugging of Parallel Tasks with AutomaDeD! International Conference for High Performance Computing, Networking, Storage and Analysis (SC) Seattle, Nov, 0 Large Scale Debugging of Parallel Tasks with AutomaDeD Ignacio Laguna, Saurabh Bagchi Todd

More information

Statistical Fault Injection

Statistical Fault Injection Statistical Fault Injection Pradeep Ramachandran *, Prabhakar Kudva, Jeffrey Kellington, John Schumann and Pia Sanda IBM Systems and Technology Group, Poughkeepsie, NY. IBM T. J. Watson Research Center,

More information

INTRODUCTION TO OPENACC. Analyzing and Parallelizing with OpenACC, Feb 22, 2017

INTRODUCTION TO OPENACC. Analyzing and Parallelizing with OpenACC, Feb 22, 2017 INTRODUCTION TO OPENACC Analyzing and Parallelizing with OpenACC, Feb 22, 2017 Objective: Enable you to to accelerate your applications with OpenACC. 2 Today s Objectives Understand what OpenACC is and

More information

PAGE PLACEMENT STRATEGIES FOR GPUS WITHIN HETEROGENEOUS MEMORY SYSTEMS

PAGE PLACEMENT STRATEGIES FOR GPUS WITHIN HETEROGENEOUS MEMORY SYSTEMS PAGE PLACEMENT STRATEGIES FOR GPUS WITHIN HETEROGENEOUS MEMORY SYSTEMS Neha Agarwal* David Nellans Mark Stephenson Mike O Connor Stephen W. Keckler NVIDIA University of Michigan* ASPLOS 2015 EVOLVING GPU

More information

Rodinia Benchmark Suite

Rodinia Benchmark Suite Rodinia Benchmark Suite CIS 601 Paper Presentation 3/16/2017 Presented by Grayson Honan, Shreyas Shivakumar, Akshay Sriraman Rodinia: A Benchmark Suite for Heterogeneous Computing Shuai Che, Michael Boyer,

More information

Fault Tolerance Techniques for Sparse Matrix Methods

Fault Tolerance Techniques for Sparse Matrix Methods Fault Tolerance Techniques for Sparse Matrix Methods Simon McIntosh-Smith Rob Hunt An Intel Parallel Computing Center Twitter: @simonmcs 1 ! Acknowledgements Funded by FP7 Exascale project: Mont Blanc

More information

Adaptive Power Profiling for Many-Core HPC Architectures

Adaptive Power Profiling for Many-Core HPC Architectures Adaptive Power Profiling for Many-Core HPC Architectures Jaimie Kelley, Christopher Stewart The Ohio State University Devesh Tiwari, Saurabh Gupta Oak Ridge National Laboratory State-of-the-Art Schedulers

More information

An Evaluation of Unified Memory Technology on NVIDIA GPUs

An Evaluation of Unified Memory Technology on NVIDIA GPUs An Evaluation of Unified Memory Technology on NVIDIA GPUs Wenqiang Li 1, Guanghao Jin 2, Xuewen Cui 1, Simon See 1,3 Center for High Performance Computing, Shanghai Jiao Tong University, China 1 Tokyo

More information

COL862: Low Power Computing Maximizing Performance Under a Power Cap: A Comparison of Hardware, Software, and Hybrid Techniques

COL862: Low Power Computing Maximizing Performance Under a Power Cap: A Comparison of Hardware, Software, and Hybrid Techniques COL862: Low Power Computing Maximizing Performance Under a Power Cap: A Comparison of Hardware, Software, and Hybrid Techniques Authors: Huazhe Zhang and Henry Hoffmann, Published: ASPLOS '16 Proceedings

More information

HAFT Hardware-Assisted Fault Tolerance

HAFT Hardware-Assisted Fault Tolerance HAFT Hardware-Assisted Fault Tolerance Dmitrii Kuvaiskii Rasha Faqeh Pramod Bhatotia Christof Fetzer Technische Universität Dresden Pascal Felber Université de Neuchâtel Hardware Errors in the Wild Online

More information

Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems

Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems Ben Taylor, Vicent Sanz Marco, Zheng Wang School of Computing and Communications, Lancaster University, UK {b.d.taylor, v.sanzmarco,

More information

DESIGN AND ANALYSIS OF TRANSIENT FAULT TOLERANCE FOR MULTI CORE ARCHITECTURE

DESIGN AND ANALYSIS OF TRANSIENT FAULT TOLERANCE FOR MULTI CORE ARCHITECTURE DESIGN AND ANALYSIS OF TRANSIENT FAULT TOLERANCE FOR MULTI CORE ARCHITECTURE DivyaRani 1 1pg scholar, ECE Department, SNS college of technology, Tamil Nadu, India -----------------------------------------------------------------------------------------------------------------------------------------------

More information

GPGPU Offloading with OpenMP 4.5 In the IBM XL Compiler

GPGPU Offloading with OpenMP 4.5 In the IBM XL Compiler GPGPU Offloading with OpenMP 4.5 In the IBM XL Compiler Taylor Lloyd Jose Nelson Amaral Ettore Tiotto University of Alberta University of Alberta IBM Canada 1 Why? 2 Supercomputer Power/Performance GPUs

More information

TECHNOLOGY scaling has reduced the area, delay, and

TECHNOLOGY scaling has reduced the area, delay, and 1838 IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS, VOL. 27, NO. 6, JUNE 2016 Workload-Aware Optimal Power Allocation on Single-Chip Heterogeneous Processors Jae Young Jang, Hao Wang, Euijin Kwon,

More information

Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems

Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems Adaptive Optimization for OpenCL Programs on Embedded Heterogeneous Systems Ben Taylor, Vicent Sanz Marco, Zheng Wang School of Computing and Communications, Lancaster University, UK {b.d.taylor, v.sanzmarco,

More information

OVER the past decades, researchers have been focusing

OVER the past decades, researchers have been focusing Low Cost Transient Fault Protection Using Loop Output Prediction Sunghyun Park, Shikai Li, Scott Mahlke, Fellow, IEEE Abstract Performance-oriented optimizations have been successful by making transistor

More information

Examining the Impact of ACE interference on Multi-Bit AVF Estimates

Examining the Impact of ACE interference on Multi-Bit AVF Estimates Examining the Impact of ACE interference on Multi-Bit AVF Estimates Fritz Previlon, Mark Wilkening, Vilas Sridharan, Sudhanva Gurumurthi and David R. Kaeli ECE Department, Northeastern University, Boston,

More information

Introducing a Cache-Oblivious Blocking Approach for the Lattice Boltzmann Method

Introducing a Cache-Oblivious Blocking Approach for the Lattice Boltzmann Method Introducing a Cache-Oblivious Blocking Approach for the Lattice Boltzmann Method G. Wellein, T. Zeiser, G. Hager HPC Services Regional Computing Center A. Nitsure, K. Iglberger, U. Rüde Chair for System

More information

Reliable Architectures

Reliable Architectures 6.823, L24-1 Reliable Architectures Computer Science and Artificial Intelligence Laboratory Massachusetts Institute of Technology 6.823, L24-2 Strike Changes State of a Single Bit 10 6.823, L24-3 Impact

More information

Intelligent Networks For Fault Tolerance in Real-Time Distributed Systems. Jayakrishnan Nair

Intelligent Networks For Fault Tolerance in Real-Time Distributed Systems. Jayakrishnan Nair Intelligent Networks For Fault Tolerance in Real-Time Distributed Systems Jayakrishnan Nair Real Time Distributed Systems A Distributed System may follow a traditional Master-Slave Approach for Task Allocation

More information

Fahad Zafar, Dibyajyoti Ghosh, Lawrence Sebald, Shujia Zhou. University of Maryland Baltimore County

Fahad Zafar, Dibyajyoti Ghosh, Lawrence Sebald, Shujia Zhou. University of Maryland Baltimore County Accelerating a climate physics model with OpenCL Fahad Zafar, Dibyajyoti Ghosh, Lawrence Sebald, Shujia Zhou University of Maryland Baltimore County Introduction The demand to increase forecast predictability

More information

NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU

NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU NVIDIA Think about Computing as Heterogeneous One Leo Liao, 1/29/2106, NTU GPGPU opens the door for co-design HPC, moreover middleware-support embedded system designs to harness the power of GPUaccelerated

More information

Optimization of Tele-Immersion Codes

Optimization of Tele-Immersion Codes Optimization of Tele-Immersion Codes Albert Sidelnik, I-Jui Sung, Wanmin Wu, María Garzarán, Wen-mei Hwu, Klara Nahrstedt, David Padua, Sanjay Patel University of Illinois at Urbana-Champaign 1 Agenda

More information

JCudaMP: OpenMP/Java on CUDA

JCudaMP: OpenMP/Java on CUDA JCudaMP: OpenMP/Java on CUDA Georg Dotzler, Ronald Veldema, Michael Klemm Programming Systems Group Martensstraße 3 91058 Erlangen Motivation Write once, run anywhere - Java Slogan created by Sun Microsystems

More information

Polyhedral Optimizations of Explicitly Parallel Programs

Polyhedral Optimizations of Explicitly Parallel Programs Habanero Extreme Scale Software Research Group Department of Computer Science Rice University The 24th International Conference on Parallel Architectures and Compilation Techniques (PACT) October 19, 2015

More information

HARNESSING IRREGULAR PARALLELISM: A CASE STUDY ON UNSTRUCTURED MESHES. Cliff Woolley, NVIDIA

HARNESSING IRREGULAR PARALLELISM: A CASE STUDY ON UNSTRUCTURED MESHES. Cliff Woolley, NVIDIA HARNESSING IRREGULAR PARALLELISM: A CASE STUDY ON UNSTRUCTURED MESHES Cliff Woolley, NVIDIA PREFACE This talk presents a case study of extracting parallelism in the UMT2013 benchmark for 3D unstructured-mesh

More information

Supercomputer Field Data. DRAM, SRAM, and Projections for Future Systems

Supercomputer Field Data. DRAM, SRAM, and Projections for Future Systems Supercomputer Field Data DRAM, SRAM, and Projections for Future Systems Nathan DeBardeleben, Ph.D. (LANL) Ultrascale Systems Research Center (USRC) 6 th Soft Error Rate (SER) Workshop Santa Clara, October

More information

Analysis of System Bus Transaction Vulnerability in SystemC TLM Design Platform

Analysis of System Bus Transaction Vulnerability in SystemC TLM Design Platform Analysis of System Bus Transaction Vulnerability in SystemC TLM Design Platform YUNG-YUAN CHEN, CHUNG-HSIEN HSU, AND KUEN-LONG LEU + Department of Computer Science and Information Engineering Chung-Hua

More information

Directive-Based, High-Level Programming and Optimizations for High-Performance Computing with FPGAs

Directive-Based, High-Level Programming and Optimizations for High-Performance Computing with FPGAs Directive-Based, High-Level Programming and Optimizations for High-Performance Computing with FPGAs Jacob Lambert University of Oregon jlambert@cs.uoregon.edu Advisor: Allen D. Malony University of Oregon

More information

Multigrain Parallelism: Bridging Coarse- Grain Parallel Languages and Fine-Grain Event-Driven Multithreading

Multigrain Parallelism: Bridging Coarse- Grain Parallel Languages and Fine-Grain Event-Driven Multithreading Department of Electrical and Computer Engineering Computer Architecture and Parallel Systems Laboratory - CAPSL Multigrain Parallelism: Bridging Coarse- Grain Parallel Languages and Fine-Grain Event-Driven

More information

OP2 FOR MANY-CORE ARCHITECTURES

OP2 FOR MANY-CORE ARCHITECTURES OP2 FOR MANY-CORE ARCHITECTURES G.R. Mudalige, M.B. Giles, Oxford e-research Centre, University of Oxford gihan.mudalige@oerc.ox.ac.uk 27 th Jan 2012 1 AGENDA OP2 Current Progress Future work for OP2 EPSRC

More information

Piz Daint: Application driven co-design of a supercomputer based on Cray s adaptive system design

Piz Daint: Application driven co-design of a supercomputer based on Cray s adaptive system design Piz Daint: Application driven co-design of a supercomputer based on Cray s adaptive system design Sadaf Alam & Thomas Schulthess CSCS & ETHzürich CUG 2014 * Timelines & releases are not precise Top 500

More information

Portability of OpenMP Offload Directives Jeff Larkin, OpenMP Booth Talk SC17

Portability of OpenMP Offload Directives Jeff Larkin, OpenMP Booth Talk SC17 Portability of OpenMP Offload Directives Jeff Larkin, OpenMP Booth Talk SC17 11/27/2017 Background Many developers choose OpenMP in hopes of having a single source code that runs effectively anywhere (performance

More information

Probabilistic Diagnosis of Performance Faults in Large-Scale Parallel Applications

Probabilistic Diagnosis of Performance Faults in Large-Scale Parallel Applications International Conference on Parallel Architectures and Compilation Techniques (PACT) Minneapolis, MN, Sep 21th, 2012 Probabilistic Diagnosis of Performance Faults in Large-Scale Parallel Applications Ignacio

More information

A case study of performance portability with OpenMP 4.5

A case study of performance portability with OpenMP 4.5 A case study of performance portability with OpenMP 4.5 Rahul Gayatri, Charlene Yang, Thorsten Kurth, Jack Deslippe NERSC pre-print copy 1 Outline General Plasmon Pole (GPP) application from BerkeleyGW

More information

An Auto-Tuning Framework for Parallel Multicore Stencil Computations

An Auto-Tuning Framework for Parallel Multicore Stencil Computations Software Engineering Seminar Sebastian Hafen An Auto-Tuning Framework for Parallel Multicore Stencil Computations Shoaib Kamil, Cy Chan, Leonid Oliker, John Shalf, Samuel Williams 1 Stencils What is a

More information

Software-based Dynamic Reliability Management for GPU Applications

Software-based Dynamic Reliability Management for GPU Applications Software-based Dynamic Reliability Management for GPU Applications Si Li, Vilas Sridharan, Sudhanva Gurumurthi and Sudhakar Yalamanchili Computer Architecture Systems Laboratory, Georgia Institute of Technology

More information

Thread Tailor Dynamically Weaving Threads Together for Efficient, Adaptive Parallel Applications

Thread Tailor Dynamically Weaving Threads Together for Efficient, Adaptive Parallel Applications Thread Tailor Dynamically Weaving Threads Together for Efficient, Adaptive Parallel Applications Janghaeng Lee, Haicheng Wu, Madhumitha Ravichandran, Nathan Clark Motivation Hardware Trends Put more cores

More information

Identifying Volatile Numeric Expressions in OpenCL Applications Miriam Leeser

Identifying Volatile Numeric Expressions in OpenCL Applications Miriam Leeser Identifying Volatile Numeric Expressions in OpenCL Applications Miriam Leeser mel@coe.neu.edu Mahsa Bayati, Brian Crafton Electrical and Computer Engineering Yijia Gu and Thomas Wahl College of Computer

More information

Characterizing the Intra-warp Address Distribution and Bandwidth Demands of GPGPUs

Characterizing the Intra-warp Address Distribution and Bandwidth Demands of GPGPUs Purdue University Purdue e-pubs Open Access Theses Theses and Dissertations Spring 2014 Characterizing the Intra-warp Address Distribution and Bandwidth Demands of GPGPUs Calvin Holic Purdue University

More information

Calculating Architectural Vulnerability Factors for Spatial Multi-bit Transient Faults

Calculating Architectural Vulnerability Factors for Spatial Multi-bit Transient Faults Calculating Architectural Vulnerability Factors for Spatial Multi-bit Transient Faults Mark Wilkening, Vilas Sridharan, Si Li, Fritz Previlon, Sudhanva Gurumurthi and David R. Kaeli ECE Department, Northeastern

More information

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency

Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Profiling-Based L1 Data Cache Bypassing to Improve GPU Performance and Energy Efficiency Yijie Huangfu and Wei Zhang Department of Electrical and Computer Engineering Virginia Commonwealth University {huangfuy2,wzhang4}@vcu.edu

More information

Energy Efficient K-Means Clustering for an Intel Hybrid Multi-Chip Package

Energy Efficient K-Means Clustering for an Intel Hybrid Multi-Chip Package High Performance Machine Learning Workshop Energy Efficient K-Means Clustering for an Intel Hybrid Multi-Chip Package Matheus Souza, Lucas Maciel, Pedro Penna, Henrique Freitas 24/09/2018 Agenda Introduction

More information

UNLOCKING BANDWIDTH FOR GPUS IN CC-NUMA SYSTEMS

UNLOCKING BANDWIDTH FOR GPUS IN CC-NUMA SYSTEMS UNLOCKING BANDWIDTH FOR GPUS IN CC-NUMA SYSTEMS Neha Agarwal* David Nellans Mike O Connor Stephen W. Keckler Thomas F. Wenisch* NVIDIA University of Michigan* (Major part of this work was done when Neha

More information

Preliminary Experiences with the Uintah Framework on on Intel Xeon Phi and Stampede

Preliminary Experiences with the Uintah Framework on on Intel Xeon Phi and Stampede Preliminary Experiences with the Uintah Framework on on Intel Xeon Phi and Stampede Qingyu Meng, Alan Humphrey, John Schmidt, Martin Berzins Thanks to: TACC Team for early access to Stampede J. Davison

More information

A GPU-Inspired Soft Processor for High- Throughput Acceleration

A GPU-Inspired Soft Processor for High- Throughput Acceleration A GPU-Inspired Soft Processor for High- Throughput Acceleration Jeffrey Kingyens and J. Gregory Steffan Electrical and Computer Engineering University of Toronto 1 FGPA-Based Acceleration In-socket acceleration

More information

All routines were built with VS2010 compiler, OpenMP 2.0 and TBB 3.0 libraries were used to implement parallel versions of programs.

All routines were built with VS2010 compiler, OpenMP 2.0 and TBB 3.0 libraries were used to implement parallel versions of programs. technologies for multi-core numeric computation In order to compare ConcRT, OpenMP and TBB technologies, we implemented a few algorithms from different areas of numeric computation and compared their performance

More information

A Comparative Study of OpenACC Implementations

A Comparative Study of OpenACC Implementations A Comparative Study of OpenACC Implementations Ruymán Reyes, Iván López, Juan J. Fumero and Francisco de Sande 1 Abstract GPUs and other accelerators are available on many different devices, while GPGPU

More information

Use of Synthetic Benchmarks for Machine- Learning-based Performance Auto-tuning

Use of Synthetic Benchmarks for Machine- Learning-based Performance Auto-tuning Use of Synthetic Benchmarks for Machine- Learning-based Performance Auto-tuning Tianyi David Han and Tarek S. Abdelrahman The Edward S. Rogers Department of Electrical and Computer Engineering University

More information

Many-Core Compiler Fuzzing

Many-Core Compiler Fuzzing Draft: please do not distribute Many-Core Compiler Fuzzing Abstract We address the compiler correctness problem for manycore systems through novel applications of fuzz testing to OpenCL compilers. Focusing

More information

PCERE: Fine-grained Parallel Benchmark Decomposition for Scalability Prediction

PCERE: Fine-grained Parallel Benchmark Decomposition for Scalability Prediction PCERE: Fine-grained Parallel Benchmark Decomposition for Scalability Prediction Mihail Popov, Chadi kel, Florent Conti, William Jalby, Pablo de Oliveira Castro UVSQ - PRiSM - ECR Mai 28, 2015 Introduction

More information

Optimisation Myths and Facts as Seen in Statistical Physics

Optimisation Myths and Facts as Seen in Statistical Physics Optimisation Myths and Facts as Seen in Statistical Physics Massimo Bernaschi Institute for Applied Computing National Research Council & Computer Science Department University La Sapienza Rome - ITALY

More information

Introduction to Parallel and Distributed Computing. Linh B. Ngo CPSC 3620

Introduction to Parallel and Distributed Computing. Linh B. Ngo CPSC 3620 Introduction to Parallel and Distributed Computing Linh B. Ngo CPSC 3620 Overview: What is Parallel Computing To be run using multiple processors A problem is broken into discrete parts that can be solved

More information

On Level Scheduling for Incomplete LU Factorization Preconditioners on Accelerators

On Level Scheduling for Incomplete LU Factorization Preconditioners on Accelerators On Level Scheduling for Incomplete LU Factorization Preconditioners on Accelerators Karl Rupp, Barry Smith rupp@mcs.anl.gov Mathematics and Computer Science Division Argonne National Laboratory FEMTEC

More information

Generating Efficient Data Movement Code for Heterogeneous Architectures with Distributed-Memory

Generating Efficient Data Movement Code for Heterogeneous Architectures with Distributed-Memory Generating Efficient Data Movement Code for Heterogeneous Architectures with Distributed-Memory Roshan Dathathri Thejas Ramashekar Chandan Reddy Uday Bondhugula Department of Computer Science and Automation

More information

Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference

Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference The 2017 IEEE International Symposium on Workload Characterization Performance Characterization, Prediction, and Optimization for Heterogeneous Systems with Multi-Level Memory Interference Shin-Ying Lee

More information

Starchart*: GPU Program Power/Performance Op7miza7on Using Regression Trees

Starchart*: GPU Program Power/Performance Op7miza7on Using Regression Trees Starchart*: GPU Program Power/Performance Op7miza7on Using Regression Trees Wenhao Jia, Princeton University Kelly A. Shaw, University of Richmond Margaret Martonosi, Princeton University *Sta7s7cal Tuning

More information

Performance potential for simulating spin models on GPU

Performance potential for simulating spin models on GPU Performance potential for simulating spin models on GPU Martin Weigel Institut für Physik, Johannes-Gutenberg-Universität Mainz, Germany 11th International NTZ-Workshop on New Developments in Computational

More information

Eliminating Single Points of Failure in Software Based Redundancy

Eliminating Single Points of Failure in Software Based Redundancy Eliminating Single Points of Failure in Software Based Redundancy Peter Ulbrich, Martin Hoffmann, Rüdiger Kapitza, Daniel Lohmann, Reiner Schmid and Wolfgang Schröder-Preikschat EDCC May 9, 2012 SYSTEM

More information

Triolet C++/Python productive programming in heterogeneous parallel systems

Triolet C++/Python productive programming in heterogeneous parallel systems Triolet C++/Python productive programming in heterogeneous parallel systems Wen-mei Hwu Sanders AMD Chair, ECE and CS University of Illinois, Urbana-Champaign CTO, MulticoreWare Agenda Performance portability

More information

Tanuj Kr Aasawat, Tahsin Reza, Matei Ripeanu Networked Systems Laboratory (NetSysLab) University of British Columbia

Tanuj Kr Aasawat, Tahsin Reza, Matei Ripeanu Networked Systems Laboratory (NetSysLab) University of British Columbia How well do CPU, GPU and Hybrid Graph Processing Frameworks Perform? Tanuj Kr Aasawat, Tahsin Reza, Matei Ripeanu Networked Systems Laboratory (NetSysLab) University of British Columbia Networked Systems

More information

Red Fox: An Execution Environment for Relational Query Processing on GPUs

Red Fox: An Execution Environment for Relational Query Processing on GPUs Red Fox: An Execution Environment for Relational Query Processing on GPUs Haicheng Wu 1, Gregory Diamos 2, Tim Sheard 3, Molham Aref 4, Sean Baxter 2, Michael Garland 2, Sudhakar Yalamanchili 1 1. Georgia

More information

Progress Report on QDP-JIT

Progress Report on QDP-JIT Progress Report on QDP-JIT F. T. Winter Thomas Jefferson National Accelerator Facility USQCD Software Meeting 14 April 16-17, 14 at Jefferson Lab F. Winter (Jefferson Lab) QDP-JIT USQCD-Software 14 1 /

More information

CUDA GPGPU Workshop 2012

CUDA GPGPU Workshop 2012 CUDA GPGPU Workshop 2012 Parallel Programming: C thread, Open MP, and Open MPI Presenter: Nasrin Sultana Wichita State University 07/10/2012 Parallel Programming: Open MP, MPI, Open MPI & CUDA Outline

More information

Parallel Algorithm Engineering

Parallel Algorithm Engineering Parallel Algorithm Engineering Kenneth S. Bøgh PhD Fellow Based on slides by Darius Sidlauskas Outline Background Current multicore architectures UMA vs NUMA The openmp framework and numa control Examples

More information

How to perform HPL on CPU&GPU clusters. Dr.sc. Draško Tomić

How to perform HPL on CPU&GPU clusters. Dr.sc. Draško Tomić How to perform HPL on CPU&GPU clusters Dr.sc. Draško Tomić email: drasko.tomic@hp.com Forecasting is not so easy, HPL benchmarking could be even more difficult Agenda TOP500 GPU trends Some basics about

More information

IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM

IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM IMPROVING ENERGY EFFICIENCY THROUGH PARALLELIZATION AND VECTORIZATION ON INTEL R CORE TM I5 AND I7 PROCESSORS Juan M. Cebrián 1 Lasse Natvig 1 Jan Christian Meyer 2 1 Depart. of Computer and Information

More information

OPERATING SYSTEM SUPPORT FOR REDUNDANT MULTITHREADING. Björn Döbel (TU Dresden)

OPERATING SYSTEM SUPPORT FOR REDUNDANT MULTITHREADING. Björn Döbel (TU Dresden) OPERATING SYSTEM SUPPORT FOR REDUNDANT MULTITHREADING Björn Döbel (TU Dresden) Brussels, 02.02.2013 Hardware Faults Radiation-induced soft errors Mainly an issue in avionics+space 1 DRAM errors in large

More information

Agenda. Optimization Notice Copyright 2017, Intel Corporation. All rights reserved. *Other names and brands may be claimed as the property of others.

Agenda. Optimization Notice Copyright 2017, Intel Corporation. All rights reserved. *Other names and brands may be claimed as the property of others. Agenda VTune Amplifier XE OpenMP* Analysis: answering on customers questions about performance in the same language a program was written in Concepts, metrics and technology inside VTune Amplifier XE OpenMP

More information

Error Sensitivity of Linux on PowerPC (G4) & Pentium (P4)

Error Sensitivity of Linux on PowerPC (G4) & Pentium (P4) Error Sensitivity of Linux on PowerPC (G4) & Pentium (P4) W. Gu, Ravi K. Iyer Center for Reliable and High-Performance Computing Coordinated Science Laboratory University of Illinois at Urbana-Champaign

More information

OpenACC2 vs.openmp4. James Lin 1,2 and Satoshi Matsuoka 2

OpenACC2 vs.openmp4. James Lin 1,2 and Satoshi Matsuoka 2 2014@San Jose Shanghai Jiao Tong University Tokyo Institute of Technology OpenACC2 vs.openmp4 he Strong, the Weak, and the Missing to Develop Performance Portable Applica>ons on GPU and Xeon Phi James

More information

NVIDIA Jetson Platform Characterization

NVIDIA Jetson Platform Characterization NVIDIA Jetson Platform Characterization Hassan Halawa, Hazem A. Abdelhafez, Andrew Boktor, Matei Ripeanu The University of British Columbia {hhalawa, hazem, boktor, matei}@ece.ubc.ca Abstract. This study

More information

arxiv: v2 [cs.dc] 2 May 2017

arxiv: v2 [cs.dc] 2 May 2017 High Performance Data Persistence in Non-Volatile Memory for Resilient High Performance Computing Yingchao Huang University of California, Merced yhuang46@ucmerced.edu Kai Wu University of California,

More information