COMPUTATIONAL TOOL FOR AUTOMATIC DESCRIPTION OF TIM AND NCAP (IEEE STD. 1451) SPECIFIED IN XML AT HIGH LEVEL OF ABSTRACTION AS FINITE STATE MACHINE

Size: px
Start display at page:

Download "COMPUTATIONAL TOOL FOR AUTOMATIC DESCRIPTION OF TIM AND NCAP (IEEE STD. 1451) SPECIFIED IN XML AT HIGH LEVEL OF ABSTRACTION AS FINITE STATE MACHINE"

Transcription

1 COMPUTATIONAL TOOL FOR AUTOMATIC DESCRIPTION OF TIM AND NCAP (IEEE STD. 1451) SPECIFIED IN XML AT HIGH LEVEL OF ABSTRACTION AS FINITE STATE MACHINE Tiago da Silva Almeida 1, Alexandre César Rodrigues da Silva 2, Daniel J. B. S. Sampaio 3 Abstract Advances in the development of new design methodologies and new algorithms have led to the emergence more complex electronic circuits. For this is required computational tools more complex and accurate to create electronic circuit designs. Thus, this paper presents a new methodology based in computational tool for automatic conversion of visual representation of finite state machines for textual representation. The finite state machine is modeled in Stateflow environment and converted to XML description following the SCXML specifications. As a case study was implemented and simulated at high level of abstraction four finite state machines, two ones of TIM and two ones of NCAP, all of them are based on IEEE std The experimental results showed that the proposed tool generates a faithful description in XML. Which it has a great applicability in the documentation and synthesis of dedicated hardware for exchange information between NCAP and TIM its own IEEE std Index Terms ESL, IEEE std. 1451, SCXML, Synthesis. different levels of abstractions. The model design flow is considered by [1] as an extended version of the Y diagram presented by [2] and [3]. At paper had still pointed out the problems of incompatibility between the tools and its weaknesses. The Figure 1 defines the steps of design of top-down methodology in an ideal model. One side corresponds to the steps of software creation, while the other side corresponds to the steps of hardware creation. Each side is divided into different levels of abstraction, e.g., Task and Instruction (software) or Components and Logic (hardware). There is a common level of abstraction, named ESL. In this level, we cannot distinguish between hardware and software. At each level, we can run a synthesis step (continuous vertical arrow) and the specification is transformed into an implementation. Horizontal dotted arrows indicate the step which we can change the models of individual elements in the implementation directly to the next level of abstraction (lower level abstraction). INTRODUCTION Nowadays, computational tools are indispensable in the electronic circuits design due to the increase in complexity of electronic circuits and the need to manage large amounts of data related to design. The development of new methodologies and tools has become a strategic area in the development of new technologies, in particular the development of CAD (Computer Aided Design) tools. CAD tools can be best understood as design information management systems, along with the creation of graphic based input and simulation of the designs created. These simulations can be used, shared, published, republished and reused in different formats, scales and levels of detail. But with so many studies involving different methodologies and computational tools, in [1], it was proposed a classification model for design and ESL (Electronic System Level) tools. The paper details the characteristics and different approaches of design computational tools, both for modeling at hardware and software level. Figure 1 illustrates the design flow in FIGURE. 1 FLOW ELECTRONIC SYSTEMS DESIGN, ALSO KNOWN AS DOUBLE ROOF MODEL. The graphical representation of electronic systems facilitates formal verification of the system and methodologies can be developed to aid in the synthesis steps. Such as literature related, we cite the paper [4] proposed an approach based in finite state machines (FSM) to automatic synthesis of decoders. In [5] was developed a methodology for synthesis of supervisors of distributed 1 Tiago da Silva Almeida, DEE, FEIS, UNESP - Univ Estadual Paulista, Av. José Carlos Rossi, s/n Campus III, Ilha Solteira, SP, tiagoalmeida@aluno.feis.unesp.br. 2 Alexandre César Rodrigues da Silva, DEE, FEIS, UNESP - Univ Estadual Paulista, Av. José Carlos Rossi, s/n Campus III, Ilha Solteira, SP, acrsilva@dee.feis.unesp.br. 3 Daniel J. B. S. Sampaio, DEE, FEG, UNESP Univ Estadual Paulista, Av. Ariberto Pereira da Cunha, 333, Guaratinguetá, SP, dsampaio@feg.unesp.br.

2 production line based on FSM. In [6] was developed a probabilistic methodology for diagnosis of fault detection in FSM based on a possible output sequence corrupted. In [7], the authors presented a method of FSM reengineering, rebuilding it with a different topology, but with equivalent functionality. In [8], it was developed a complete approach to modeling decoders for communication systems. The approach was based on FSM, being able to identify whether the decode exists or not. Thus, this paper presents a methodology for ESL designs based in computational tool. From this methodology was developed a computational tool named SF 2 XML (Stateflow to extensible Markup Language). This tool is capable to read a file with a state transition diagram in Stateflow environment [9] and generate a textual description in XML [10]. The proposed methodology is in specified and implemented in systems level, because it is not possible see the difference between hardware or software implementation. As case study, was used four FSM from IEEE std Two ones from TIM (Transducer Interface Module) represent a controller and the communication operation with the NCAP (Network Capable Application Processor). Two ones from NCAP represent a controller of actives transducer in TIM and correction errors in operation of theses transducer. It is important to highlight the exchange information between the NCAP and TIM. For this communication the IEEE std propose use XML schemes, but we propose use SCXML (Statechart XML) and our tool. Thus, the contribution of this paper can be listed like: A new methodology to convert FSM in Stateflow in a textual description using a standardized language; Portability of chosen language to publish, share and reuse the FSM; With the IEEE std case study a new and easier method to implement and exchange information between the NCAP and TIM. IEEE STD The goal of IEEE std is to define a set of communication interfaces to connect transducers based in macro processed systems and define one or more field buses in an independent environment [11][12]. The standard family is divided in two parts. The first one defines a set of hardware interface to connect transducers to a microprocessor or an instrumentation system. The second one defines a set of software interface to connect transducers to different network control technologies. The IEEE std provides the interoperability of the systems and the plug and play capacity of transducers [12][11]. The basic structure of blocks of IEEE Std can be explained as illustrated in Figure 2. FIGURE. 2 BLOCK STRUCTURE OF IEEE STD The IEEE std is divided in committees, which one specifies a different field bus to connect transducer organized in network. The key feature of the committees is the TEDS (Transducer Electronic Data Sheets) definitions. The TEDS are memory devices linkage to transducer to storage: manufactory data, identification, calibration, among other data associated to transducers. This way, a new transducer connect to the field bus can be automatically recognized [11][12]. The IEEE std is formed by following committees: IEEE std , IEEE std , IEEE std , IEEE std , IEEE std , IEEE std , IEEE std The standard does not specify the kind of hardware or technology must be used, it specifies only the interface, the common protocol and the TEDS specifications to implemented in future technologies. Transducer interface module (TIM) The TIM is controlled by NCAP in a standardized interfaces. This feature allows the operation of transducers in measurement systems and distributed control. The TIM functionality can be described by mean three operations states: TIM initialization (TI), active TIM (AT) and inactive TIM (IT). The TIM is placed in TI state by a reinitialization command (CI) or by external event of turn on the system. Once completed the process of initialization is going to occur a transition through to AT state and it is going to wait for next command, such as Figure 3. FIGURE. 3 REPRESENTATION OF OPERATION STATES OF TIM.

3 The IT state is going to active only by men sleep commando (SC) and to reactive can be send an active command (AC), custom command (CC) by designer or when temporizer command (TC) from IT state. TIM message commands The logical communication between the NCAP and TIMs or between TIMs is by this Module Communication. The Receive interface is provided by the IEEE std committee and is called by the IEEE 1451.X layer when incoming communication messages are received by the IEEE 1451.X layer across the linkage [13]. The Module Communication can be better understood by mean of state transition table in Table I. The outputs are marked like don t care because the output in each state is the behavior itself state and the inputs are methods or events to trigger the transition. TABLE I COMMUNICATION FINITE STATE MACHINE OF TIM WITH NCAP OR ANOTHER TIM. Idle 4 Writing WriteMsg() X Idle 4 Close 5 close() X Writng 1-Last? X X Writng Aborting Abort() X 1-Last? OneWay? [last=true] X 1-Last? Writing [last=false] X OneWay? ReceivePending [oneway=false] X OneWay? Idle 4 [oneway=true] X ReceivePending Reading NotifyResp() X ReceivePending Aborting Abort() X Reading 2-Last? X X Reading Aborting Abort() X 2-Last? Idle 4 [last=true] X 2-Last? Reading [last=false] X Aborting Idle 4 Open() X Another important factor about the TIM implementation is the messages protocol sent and received between NCAP and TIM. The IEEE std contains the specifications of as the protocol must work [14]. The IEEE std committee define the message structure sent by means ICM (Interface Communication Module) to create a communication protocol. The message structure sent by means standard interface must has in its own structure an octets group with fields: Channel number of destiny transducer: It indicates the channel number of destiny representing by 2 bytes; Command class: It indicates the command class when the transducer is in operation state; Command function: It is the function to be executed defined according with the command class; 4 Initial state. 5 Final state. Size: It is formed by 2 octets indicating the octet number of message, i.e., how many octets are expected in field data; Data: It represents field data; This paper was considered only receipt of TIM messages and these messages are fit to be read for the FSM in Table I. Network capable application processor (NCAP) The NCAP is a network node capable of receiving data as well as high or low level of abstraction via an external network or locally, process the data and send to TIMs through a standardized interface [14]. The Function Block is the primary mechanism for the abstraction and packaging of application functionality. These functions can be understood like any functionality in NCAP, e.g., recognition a TIM, management a TIM, treatment of information, publication of information, etc [15]. Its FSM is presented in Table II. The same way in Table I the inputs are methods or event and the outputs are don t care. TABLE II FINITE STATE MACHINE OF NCAP FUNCTION BLOCK BEHAVIOR FB_IDLE 4 FB_RUNNING Start() X FB_RUNNING FB_IDLE 4 Clear() X FB_RUNNING FB_STOPPED Pause() X FB_STOPPED FB_RUNNING Resume() X FB_STOPPED FB_IDLE 4 Clear() X In IEEE std the Transducer Block is modeled to contain a register corresponding to each TIM register. A second set of registers contains values mapping the contents of the raw data registers using the correction information provided by the TIM TEDS [15]. The correction FSM may reflect both corrections performed within the TIM Block and within the transducer itself. The FSM correction is applied to the transducer as a whole. If an implementation with several transducer channels permits some channels to be corrected while others are uncorrected, the Transducer Block must be implemented to place all channels in either the corrected or uncorrected mode consistent with the FSM [15]. The FSM as state transition table is presented in Table III using the same representation in Table I and Table II. The state Tb_Correted2 and Tb_Uncorreted2 represent the correction behavior is active in the NCAP to the TIM. TABLE III FINITE STATE MACHINE OF NCAP FOR ERRORS CORRECTION FROM PHYSIC INTERFACE. Tb_Correted1 4 Tb_Correted2 GoActive() X Tb_Correted1 4 Tb_Uncorreted1 Disable X Tb_Correted2 Tb_Correted2 Disable X

4 or Enable Tb_Correted2 Tb_Correted1 1 GoInactive() X Tb_Uncorreted1 Tb_Uncorreted2 GoActive() X Tb_Uncorreted1 Tb_Correted1 1 Enable X Tb_Uncorreted2 Tb_Uncorreted2 Disable X or Enable Tb_Uncorreted2 Tb_Uncorreted1 GoInactive() X METHODOLOGY For acceptance in the community of software development, maintainability and practicality, we chose to develop the translation environment using an object-oriented approach. Robustness was chosen by the C++ language. Thus, common features between methods were coupled to objects, so was created a logical interaction with low representational gap. The proposed tool in this work, called SF 2 XML, is able to capture relevant information in the project file Stateflow environment and generate a corresponding description in XML. The resulting file conversion is also in accordance with international standards of default file in case the SCXML proposed by W3C (World Wide Web Consortium). The SF 2 XML has four classes of objects, an object that makes up the logical structure of storage memory at runtime, an object of reading the file Stateflow and extraction of relevant information, an object to generate the syntactic and semantic file XML and other objects to make interfacing with the user. The tool is also able to identify sub-fsm, so it has recursive methods for FSM hierarchical cluster automatically, which generates a certain economy of code and maintenance. The SF 2 XML has the follow algorithm: 1) Reads MATLAB / Simulink file and identify the FSM; 2) Store the state or transitions and its information; a) If the state has a sub-fsm store the first transition in this sub-fsm, otherwise go to step 2(b). b) Verify which type this FSM is (Mealy or Moore); 3) Start write XML file; a) Seeking relationship between states of the same hierarchical level and which state is the first in highest level; b) Write the state tags, the transition related to this states; c) If there is a sub-fsm decrease the level hierarchical and go to step 3(a), otherwise go to step 3(d); d) While there is a state such that is associated with a transition go to step 3(a). 4) Return the XML file. TIM AND NCAP SPECIFICATION IN SYSTEM LEVEL I. This sub-fsm is able to understand the message sent by NCAP, through interpretation of a simple and dedicated grammar. We considered only four channels coupled to TIM, two classes of commands: one for the TEDS data access and data access to the transducer and two possible functions for these data: reading and writing. Soon, the regular expression that represents the string read by this sub- FSM is: (0^*+1^*+2^*+3^*)(1^*+3^*)(2^*+3^*). Figure 4 illustrates the FSM for the TIM Stateflow environment. The state A1 represents the initial state responsible for reading the first data, the states A2 to A5, representing the channels 0 to 3, respectively, the state A6 is the command class for TEDS, the state A7 is the command class to the transducer, the state A8 is the read function and the state A9 is the function writing. FIGURE. 4 FSM REPRESENTING THE COMPLETE IMPLEMENTATION OF THE TIM. This implementation of the TIM was set so that the FSM of Figure 4 works as a transducer controller module. Therefore, considerations such as the size of the data and their data were excluded, since these data can be accessed through an external memory, for example. As the TIM it is a controller module, it has two inputs and four outputs. The two inputs are: a switch activation TIM and a serial input for reading the string control coming NCAP. The outputs are: signaling the state of TIM (on, active = 1, inactive = 0), signaling that the selected channel (ca, channel 0, 1, 2 or 3), signaling that the class command and signaling which function has been selected (f). These outputs can be used to select the read or write (reading = 0, writing = 1) or the TEDS transducer (cl, TEDS = 0, transducer = 1) in one of four channels. For a simple simulation of the FSM proposal, we used the following input vectors: ini = [ ]; msg = [ ]; (1a) (1b) The result of simulation for the vectors (1a) and (1b) is: channel = [ ]; (2a) For the development of the TIM in Stateflow environment was created a sub-fsm in AT to represent the FSM in Table class = [ ]; (2b)

5 function = [ ]; Active = [ ]; (2c) (2d) The FSMs in Table II and Table III were modeled and simulated individually, because its behavior is too different. Another factor is the level of abstraction, the FSM in Table II and Table III express an abstract behavior and it is need the system more concretely. Thus, the FSM in Table II was adapted too, as illustrate in Table IV to represent a digital circuit. TABLE IV FINITE STATE MACHINE OF NCAP FUNCTION BLOCK BEHAVIOR FB_IDLE 1 FB_RUNNING 1 1 FB_RUNNING FB_IDLE FB_RUNNING FB_STOPPED 1 0 FB_STOPPED FB_RUNNING 1 1 FB_STOPPED FB_IDLE The inputs and outputs were considered with a single bit. The bit 1 means the message to start the function e pass to state FB_RUNNING. The same way, the output in bit 1 means the state FB_RUNNING is active. To simulate this FSM was used the follow input vector and it obtained the output vector: input = [ ]; output = [ ]; (3a) (3b) The same way in previous FSM, the Table V is an adaptation of Table III and the bit 1 means the message to start the function e pass to state Tb_Correted2 and the output in bit 1 means the state Tb_Correted2 is active. TABLE V FINITE STATE MACHINE OF NCAP FOR ERRORS CORRECTION FROM PHYSIC INTERFACE. Tb_Correted1 4 Tb_Correted2 1 1 Tb_Correted1 4 Tb_Uncorreted1 0 0 Tb_Correted2 Tb_Correted2 1 1 Tb_Correted2 Tb_Correted Tb_Uncorreted1 Tb_Uncorreted2 1 0 Tb_Uncorreted1 Tb_Correted Tb_Uncorreted2 Tb_Uncorreted2 1 0 Tb_Uncorreted2 Tb_Uncorreted1 0 0 To simulate of Table V was used the follow input vector and it obtained the output vector: input = [ ]; output = [ ]; (4a) (4b) RESULT OF TRANSLATION The tool was able to accurately generate an XML representation corresponding to the diagram shown in Figure 4. Every XML description is according to SCXML specification. Listing 1 shows the description in XML generated by SF 2 XML. Listing 1. XML code representing the FSM of Figure <?xml version="1.0" encoding="iso "?> 2. <scxml version="1.0" xmlns=" initialstate="0"> 3. <state id="0"> 4. <transition event="input=1"> 5. <target next="1" /> 6. <assign expr="output=1" /> 7. </transition> 8. <transition event="input=0"> 9. <target next="0" /> 10. <assign expr="output=0" /> 11. </transition> 12. </state> 13. <state id="1"> 14. <transition event="input=0"> 15. <target next="2" /> 16. <assign expr="output=0" /> 17. </transition> 18. <initial> 19. <transition> 20. <target next="3" /> 21. </transition> 22. </initial> <state id="10"> 25. </state> 26. <state id="11"> 27. </state> 28. </state> </scxml> It is important to highlight that for convenience of space redundant tags were omitted in Listing 1 and states assumed a decimal representation continuously, regardless of whether the state is within another state or not. Table VI presents the relation of this decimal representation of the states in the XML file generated by SF 2 XML. TABLE VI DECIMAL REPRESENTATION OF THE STATES IN THE XML DESCRIPTION CORRESPONDING TO THE DIAGRAM IN FIGURE 4. State Decimal representation State IT 0 A4 6 TA 1 A5 7 TI 2 A6 8 A1 3 A7 9 A2 4 A8 10 A3 5 A9 11 Decimal represen tation Line 1 of Listing 1 specifies that it is an XML file, the XML version and encoding used. The tags of lines 2 and 30, specify the start and end of the FSM. In line 2 is also specified the version of SCXML used, the name for the XML document (xmlns) and the initial state of the FSM (initialstate= 0 ). The tag <state id=... > and </state> specifies the state and its identifier (lines 3, 12, 13, 24-28).

6 The tags <transition event=... > and </transition> to specify a transition that will occur according to a particular event, e.g. INPUT = 1 (line 4). If the transition occurs, the tag <target next=... /> specifies the next state of the FSM according to its identifier. The tag <assign expr= OUTPUT=... /> assigns a new value to the output attribute expr. If there are hierarchically nested state, states are chained between the tags <state id=... > and </state> (lines 13-28). However, the initial state is specified by internal tags <initial> and </initial> within a transition indicating to the initial state. The performance of SF 2 XML with the three cases was measure in seconds in a computer with Intel i5 1.7 GHz, RAM 6 GB and operation system Microsoft Windows 7 64 bits. Because of states quantity the TIM Operation FSM (Figure 4) spent more time to generate the XML about seconds. The case NCAP function block behavior FSM (Table IV) and NCAP errors correction (Table V) FSM spent and seconds, respectively. CONCLUSION This paper presented a new methodology for automatic conversion of visual representations of FSM to a textual description of it. The new methodology was implemented in a computational tool called SF 2 XML. This tool converts a given state transition diagram into an XML representation according to the specification in SCXML. As a case study we used an implementation of four FSM based in IEEE Std This is an important application, because the purpose of IEEE Std is the standardization of sensor networks industrial, so that there is interoperability between different systems. The experimental results with these case studies demonstrated the efficiency of the proposed methodology and tool. The conversion to XML is quite convenient because the portability of language allows for a wide applicability of the proposed methodology. E.g., documentation, level hardware or software, web publishing, using simulators such as XML and standard language to optimize in future works of FSM. Another important factor is that IEEE std suggests the utilization of XML scheme [13] to exchange information between NCAP and TIM at software level. Such as SCXML is simpler than XML scheme is highly indicated use it to exchange information about the system architecture. ACKNOWLEDGMENT The authors would like to thank the National Council for Scientific and Technological Development (CNPq, process: / and /2012-2). methodologies, IEEE Transactions On Computer-aided Design Of Integrated Circuits And Systems, vol. 28, no. 10, pp , [2] D. D. Gajski and R. H. Kuhn, Introduction new VLSI tools, IEEE Computer, vol. 16, no. 12, pp , [3] T. Riesgo, Y. Torroja, and E. Torre, Design methodologies based on hardware description languages, IEEE Transactions on Industrial Electronics, vol. 46, no. 1, pp. 3 12, [4] S. Shen, Y. Qin, K. Wang, Z. Pang, J. Zhang, and S. Li, Inferring assertion for complementary synthesis, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 31, no. 8, pp , [5] R. Su, J. Van Schuppen, and J. Rooda, Aggregative synthesis of distributed supervisors based on automaton abstraction, Automatic Control, IEEE Transactions on, vol. 55, no. 7, pp , [6] E. Athanasopoulou, L. Li, and C. Hadjicostis, Maximum likelihood failure diagnosis in finite state machines under unreliable observations, Automatic Control, IEEE Transactions on, vol. 55, no. 3, pp , [7] L. Yuan, G. Qu, T. Villa, and A. Sangiovanni-Vincentelli, An FSM reengineering approach to sequential circuit synthesis by state splitting, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 6, pp , [8] H.-Y. Liu, Y.-C. Chou, C.-H. Lin, and J.-H. Jiang, Automatic decoder synthesis: Methods and case studies, Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol. 31, no. 9, pp , [9] S. T. Karris, Introduction to Stateflow with applications. Orchard Publications, [10] R. Auburn, J. Barnett, M. Bodell, and T. Raman, State chart XML (SCXML): State machine notation for control abstraction 1.0, site, 2012, [11] E. Song and K. Lee, Interoperability Test of IEEE Standard- Based Wireless, in Measuring Technology and Mechatronics Automation (ICMTMA), 2010 International Conference on, vol. 2, 2010, pp [12] E. Song, K. Lee, S. Fick, and A. Donmez, An IEEE standard-based wireless sensor network with embedded WTIM, in Instrumentation and Measurement Technology Conference (I2MTC), 2011 IEEE, 2011, pp [13] IEEE Standard for a Smart Transducer Interface for Sensors and Actuators - Common Functions, Communication Protocols, and Transducer Electronic Data Sheet (TEDS) Formats, IEEE Std , pp , [14] T. A. dos Santos Filho, Desenvolvimento de um n o de rede com diferentes interfaces de acordo com o padr ao IEEE 1451 utilizando o processador NIOS II e o sistema operacional embarcado uclinux, Ph.D. dissertation, Universidade Estadual Paulista J ulio de Mesquita Filho, [15] IEEE Standard for a Smart Transducer Interface for Sensors and Actuators-Network Capable Application Processor (NCAP) Information Model, IEEE Std , pp. i, REFERENCES [1] A. Gerstlauer, C. Haubelt, A. D. Pimentel, T. P. Stefanov, D. D. Gajski, and J. Teich, Electronic system-level synthesis

An Environment of State Transition Diagram Translation

An Environment of State Transition Diagram Translation International Conference on Manufacturing and Engineering Systems An Environment of State Transition Diagram Translation Alexandre C. R. Silva(*), Tiago da Silva Almeida, Silvano R. Rossi UNESP São Paulo

More information

Sensors and actuators are ubiquitous. They are used

Sensors and actuators are ubiquitous. They are used Understanding IEEE 1451 Networked Smart Transducer Interface Standard Eugene Y. Song and Kang Lee istockphoto.com What Is a Smart Transducer? Sensors and actuators are ubiquitous. They are used in a variety

More information

A Unifying Standard for Interfacing Transducers to Networks IEEE

A Unifying Standard for Interfacing Transducers to Networks IEEE A Unifying Standard for Interfacing Transducers to Networks IEEE-1451.0 James Wiczer, Ph.D. President Smart Sensor Interface Research and Development Group Sensor Synergy, Inc. 1110 W. Lake Cook Rd. Suite

More information

A Combined ISO/IEC/IEEE and -2 Data Acquisition Module

A Combined ISO/IEC/IEEE and -2 Data Acquisition Module A Combined ISO/IEC/IEEE 21451-4 and -2 Data Acquisition Module Yuan Ma, Avarachan Cherian and Darold Wobschall Esensors Inc., Amherst NY Abstract A prototype is described which combines the TEDS-only (-4)

More information

IEEE A UNIVERSAL TRANSDUCER PROTOCOL STANDARD

IEEE A UNIVERSAL TRANSDUCER PROTOCOL STANDARD IEEE 1451 -- A UNIVERSAL TRANSDUCER PROTOCOL STANDARD Darold Wobschall Esensors Inc. Amherst NY 14226 716-837-8719 Email: designer@eesensors.com Abstract - The expansion of smart sensors usage is being

More information

A Multi-channel Smart Strain Sensor with IEEE 1451 Protocol

A Multi-channel Smart Strain Sensor with IEEE 1451 Protocol A Multi-channel Smart Strain Sensor with IEEE 1451 Protocol Darold Wobschall Esensors Inc. 23 rd Annual Transducer Workshop Buffalo NY, June 2008 Strain Sensor with 1451 1 Project Goals 2 Design & test

More information

Implementation of IEEE Conformance/Functionality Testing using LabView

Implementation of IEEE Conformance/Functionality Testing using LabView SAS 2008 IEEE Sensors Applications Symposium Atlanta, GA, February 12-14, 2008 Implementation of IEEE 1451.1 Conformance/Functionality Testing using LabView Richard Franzl Jonathan A. Morris Deniz Gurkan

More information

A Sensor Network for Buildings Based on the DALI Bus

A Sensor Network for Buildings Based on the DALI Bus A Sensor Network for Buildings Based on the DALI Bus Yuan Ma and Darold Wobschall Esensors Inc. and University at Buffalo Buffalo, NY www.eesensors.com Sensors Application Symposium (SAS) San Diego, Feb.

More information

Low-Power Wireless Sensor with SNAP and IEEE 1451 Protocol

Low-Power Wireless Sensor with SNAP and IEEE 1451 Protocol Low-Power Wireless Sensor with SNAP and IEEE 1451 Protocol Dr. Darold Wobschall and Sriharsha Mupparaju Esensors Inc. SAS -- Wireless with SNAP/1451 1 Goals To describe --- Low-power wireless sensor requirements

More information

An IEEE /.4 Compatible Sensor and Gateway

An IEEE /.4 Compatible Sensor and Gateway An Compatible Sensor and Gateway Darold Wobschall*, Yuan Ma and Avarachan Cherian Esensors Inc. designer@eesensors.com SAS 2017 *Chair of IEEE 21451.2 Working Group 1 Topics Review and History of IEEE

More information

A Centronics Based Transducer Independent Interface (TII) Fully Compliant with Std.

A Centronics Based Transducer Independent Interface (TII) Fully Compliant with Std. A Centronics Based Transducer Independent Interface (TII) Fully Compliant with 1451.2 Std. Helena Ramos 1, M. Pereira 1,2, V. Viegas 2, O. Postolache 1,2, P. Girão 1 1. Instituto de Telecomunicações, DEEC,

More information

IEEE 1451 Prototype Dot 2 and Dot 4 NCAPs with Internet Access

IEEE 1451 Prototype Dot 2 and Dot 4 NCAPs with Internet Access IEEE 1451 Prototype Dot 2 and Dot 4 NCAPs with Internet Access Darold Wobschall State University of New York at Buffalo Dept. of Electrical Engineering and Esensors, Inc. NCAP Dot2/Dot4 1 Goals Develop

More information

Applications of Program analysis in Model-Based Design

Applications of Program analysis in Model-Based Design Applications of Program analysis in Model-Based Design Prahlad Sampath (Prahlad.Sampath@mathworks.com) 2018 by The MathWorks, Inc., MATLAB, Simulink, Stateflow, are registered trademarks of The MathWorks,

More information

Diagnosis of a Continuous Dynamic System from Distributed Measurements

Diagnosis of a Continuous Dynamic System from Distributed Measurements Presented at the IEEE International Measurement Technology Conference, Baltimore, Maryland, May 1 4, 2000 Diagnosis of a Continuous Dynamic System from Distributed Measurements Eric-J. Manders 1 and Lee

More information

VHDL Essentials Simulation & Synthesis

VHDL Essentials Simulation & Synthesis VHDL Essentials Simulation & Synthesis Course Description This course provides all necessary theoretical and practical know-how to design programmable logic devices using VHDL standard language. The course

More information

Implementation of Reconfiguration Management in Fault-Adaptive Control Systems

Implementation of Reconfiguration Management in Fault-Adaptive Control Systems IEEE Instrumentation and Measurement Technology Conference Anchorage, AK, USA, 21-23 May 2002 Implementation of Reconfiguration Management in Fault-Adaptive Control Systems Gyula Simon *#, Tamás Kovácsházy

More information

vsignalyzer Product Information

vsignalyzer Product Information Product Information Table of Contents 1 Overview... 3 1.1 Introduction... 3 1.2 Overview of Advantages... 3 1.3 Application Areas... 4 1.4 System Requirements... 4 1.5 Functional Extension by Additional

More information

Session F1C DCMSIM: DIDACTIC CACHE MEMORY SIMULATOR. Eduardo S. Cordeiro 1, Italo G. A. Stefani 2, Tays C. A. P. Soares 3, Carlos A. P. S.

Session F1C DCMSIM: DIDACTIC CACHE MEMORY SIMULATOR. Eduardo S. Cordeiro 1, Italo G. A. Stefani 2, Tays C. A. P. Soares 3, Carlos A. P. S. DCMSIM: DIDACTIC CACHE MEMORY SIMULATOR Eduardo S. Cordeiro 1, Italo G. A. Stefani 2, Tays C. A. P. Soares 3, Carlos A. P. S. Martins 4 Abstract We present a functional and structural didactic simulator

More information

SCXML. Michael Bodell.

SCXML. Michael Bodell. SCXML Michael Bodell bodell@tellme.com Prologue (VXML 2.0/2.1) VoiceXML 2.0/2.1 is a standard out of the Voice Browser Working Group of the W3C VXML is to networked phone browsers as HTML is to internet

More information

Application of LonWorks Distributed Control Technology in Greenhouses

Application of LonWorks Distributed Control Technology in Greenhouses Application of LonWorks Distributed Control Technology in Greenhouses Gilberto A. Pereira a, Carlos E. Cugnasca b a Agricultural Automation Laboratory, Escola Politécnica, Universidade de São Paulo, Brazil,

More information

Esterel Studio Update

Esterel Studio Update Esterel Studio Update Kim Sunesen Esterel EDA Technologies www.esterel-eda.com Synchron, November 2007, Bamberg Germany Agenda Update on Esterel Studio Architecture Diagrams Formal Verification IEEE standardization

More information

Dept. of Electrical, Computer and Biomedical Engineering. Data Acquisition Systems and the NI LabVIEW environment

Dept. of Electrical, Computer and Biomedical Engineering. Data Acquisition Systems and the NI LabVIEW environment Dept. of Electrical, Computer and Biomedical Engineering Data Acquisition Systems and the NI LabVIEW environment Data Acquisition (DAQ) Use of some data acquisition technique can be convenient, when not

More information

Design and Research of Virtual Instrument Development Board

Design and Research of Virtual Instrument Development Board Design and Research of Virtual Instrument Development Board Lin Zhang 1, Taizhou Li 2, and Zhuo Chen 2 1 School of Mechanical and Engineering, Huazhong University of Science and Technology 2 School of

More information

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado.

LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS. Gary D. Hachtel University of Colorado. Fabio Somenzi University of Colorado. LOGIC SYNTHESIS AND VERIFICATION ALGORITHMS by Gary D. Hachtel University of Colorado Fabio Somenzi University of Colorado Springer Contents I Introduction 1 1 Introduction 5 1.1 VLSI: Opportunity and

More information

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review

EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Finite State Machine Review EECS150 - Digital Design Lecture 7 - Computer Aided Design (CAD) - Part II (Logic Simulation) Feb 9, 2010 John Wawrzynek Spring 2010 EECS150 - Lec7-CAD2 Page 1 Finite State Machine Review State Transition

More information

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited

EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited EECS150 - Digital Design Lecture 20 - Finite State Machines Revisited April 2, 2009 John Wawrzynek Spring 2009 EECS150 - Lec20-fsm Page 1 Finite State Machines (FSMs) FSM circuits are a type of sequential

More information

Generalized Document Data Model for Integrating Autonomous Applications

Generalized Document Data Model for Integrating Autonomous Applications 6 th International Conference on Applied Informatics Eger, Hungary, January 27 31, 2004. Generalized Document Data Model for Integrating Autonomous Applications Zsolt Hernáth, Zoltán Vincellér Abstract

More information

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems)

System Design and Methodology/ Embedded Systems Design (Modeling and Design of Embedded Systems) Design&Methodologies Fö 1&2-1 Design&Methodologies Fö 1&2-2 Course Information Design and Methodology/ Embedded s Design (Modeling and Design of Embedded s) TDTS07/TDDI08 Web page: http://www.ida.liu.se/~tdts07

More information

The SpecC System-Level Design Language and Methodology, Part 1. Class 309

The SpecC System-Level Design Language and Methodology, Part 1. Class 309 Embedded Systems Conference San Francisco 2002 The SpecC System-Level Design Language and Methodology, Part 1 Class 309 Rainer Dömer Center for Embedded Computer Systems Universitiy of California, Irvine,

More information

DEVELOPMENT OF DISTRIBUTED AUTOMOTIVE SOFTWARE The DaVinci Methodology

DEVELOPMENT OF DISTRIBUTED AUTOMOTIVE SOFTWARE The DaVinci Methodology DEVELOPMENT OF DISTRIBUTED AUTOMOTIVE SOFTWARE The DaVinci Methodology Dr. Uwe Honekamp, Matthias Wernicke Vector Informatik GmbH, Dep. PND - Tools for Networks and distributed Systems Abstract: The software

More information

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis

EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis EECS150 - Digital Design Lecture 5 - Verilog Logic Synthesis Jan 31, 2012 John Wawrzynek Spring 2012 EECS150 - Lec05-verilog_synth Page 1 Outline Quick review of essentials of state elements Finite State

More information

A Smart Transducer Interface for Sensors and Actuators

A Smart Transducer Interface for Sensors and Actuators A Smart Transducer Interface for Sensors and Actuators Tether-free Technologies and E-Manufacturing Workshop Milwaukee, WI October 1-2, 2001 Kang Lee Sensor Development and Application Group Manufacturing

More information

a paradigm for the Introduction to Semantic Web Semantic Web Angelica Lo Duca IIT-CNR Linked Open Data:

a paradigm for the Introduction to Semantic Web Semantic Web Angelica Lo Duca IIT-CNR Linked Open Data: Introduction to Semantic Web Angelica Lo Duca IIT-CNR angelica.loduca@iit.cnr.it Linked Open Data: a paradigm for the Semantic Web Course Outline Introduction to SW Give a structure to data (RDF Data Model)

More information

Stateflow Best Practices By Michael Burke

Stateflow Best Practices By Michael Burke Stateflow Best Practices By Michael Burke 2012 The MathWorks, Inc. 1 Topics Background Overview of terms Readability Stateflow hierarchy Modeling tips Basic rules: MAAB style guide 2 Background Objective

More information

Introduction to Formal Methods

Introduction to Formal Methods 2008 Spring Software Special Development 1 Introduction to Formal Methods Part I : Formal Specification i JUNBEOM YOO jbyoo@knokuk.ac.kr Reference AS Specifier s Introduction to Formal lmethods Jeannette

More information

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation

Introduction to Electronic Design Automation. Model of Computation. Model of Computation. Model of Computation Introduction to Electronic Design Automation Model of Computation Jie-Hong Roland Jiang 江介宏 Department of Electrical Engineering National Taiwan University Spring 03 Model of Computation In system design,

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

Design of an Intelligent PH Sensor for Aquaculture Industry

Design of an Intelligent PH Sensor for Aquaculture Industry Design of an Intelligent PH Sensor for Aquaculture Industry Haijiang Tai 1, Qisheng Ding 1,2,*, Daoliang Li 1,**, and aoguang Wei 1 1 College of Information and Electrical Engineering, China Agricultural

More information

Eliminating False Loops Caused by Sharing in Control Path

Eliminating False Loops Caused by Sharing in Control Path Eliminating False Loops Caused by Sharing in Control Path ALAN SU and YU-CHIN HSU University of California Riverside and TA-YUNG LIU and MIKE TIEN-CHIEN LEE Avant! Corporation In high-level synthesis,

More information

Ontology Summit F2F Meeting ISO/IEC/IEEE P

Ontology Summit F2F Meeting ISO/IEC/IEEE P Ontology Summit F2F Meeting ISO/IEC/IEEE P21451-1-4 1 st International Semantic Web 3.0 Standard for the Internet of Things (IoT) William J. Miller Chairman 1 Internet of Things (IoT) http://www.sensei-iot.org

More information

An Ontology-Based Methodology for Integrating i* Variants

An Ontology-Based Methodology for Integrating i* Variants An Ontology-Based Methodology for Integrating i* Variants Karen Najera 1,2, Alicia Martinez 2, Anna Perini 3, and Hugo Estrada 1,2 1 Fund of Information and Documentation for the Industry, Mexico D.F,

More information

IEEE Standard and XML Web Services: a Powerful Combination to Build Distributed Measurement and Control Systems

IEEE Standard and XML Web Services: a Powerful Combination to Build Distributed Measurement and Control Systems IMTC 2006 Instrumentation and Measurement Technology Conference Sorrento, ITALIA, 24-27 April 2006 IEEE 1451.1 Standard and XML Web Services: a Powerful Combination to Build Distributed Measurement and

More information

Developing a Data Driven System for Computational Neuroscience

Developing a Data Driven System for Computational Neuroscience Developing a Data Driven System for Computational Neuroscience Ross Snider and Yongming Zhu Montana State University, Bozeman MT 59717, USA Abstract. A data driven system implies the need to integrate

More information

Security Issues Formalization

Security Issues Formalization Security Issues Formalization V. T. Dimitrov University of Sofia, Faculty of Mathematics and Informatics, 5 James Bourchier Blvd, 1164, Sofia, Bulgaria E-mail: cht@fmi.uni-sofia.bg Software bugs are primary

More information

Tsmart-BIPEX: An Integrated Graphical Design Toolkit for Software Systems

Tsmart-BIPEX: An Integrated Graphical Design Toolkit for Software Systems Tsmart-BIPEX: An Integrated Graphical Design Toolkit for Software Systems Huafeng Zhang 1, Yu Jiang 1, Han Liu 1, Ming Gu 1, and Jiaguang Sun 1 School of Software, Tsinghua University, China Abstract.

More information

Simulation of LET Models in Simulink and Ptolemy

Simulation of LET Models in Simulink and Ptolemy Simulation of LET Models in Simulink and Ptolemy P. Derler, A. Naderlinger, W. Pree, S. Resmerita, J. Templ Monterey Workshop 2008, Budapest, Sept. 24-26, 2008 C. Doppler Laboratory Embedded Software Systems

More information

CHAPTER 2 MARKUP LANGUAGES: XHTML 1.0

CHAPTER 2 MARKUP LANGUAGES: XHTML 1.0 WEB TECHNOLOGIES A COMPUTER SCIENCE PERSPECTIVE CHAPTER 2 MARKUP LANGUAGES: XHTML 1.0 Modified by Ahmed Sallam Based on original slides by Jeffrey C. Jackson reserved. 0-13-185603-0 HTML HELLO WORLD! Document

More information

10 GIGABIT ETHERNET CONSORTIUM. RS Test Suite V1.2a Technical Document. Last Updated: June 7, :30 pm

10 GIGABIT ETHERNET CONSORTIUM. RS Test Suite V1.2a Technical Document. Last Updated: June 7, :30 pm 10 GIGABIT ETHERNET CONSORTIUM 10GECTHE RS Test Suite V1.2a Technical Document Last Updated: June 7, 2005 6:30 pm 10 Gigabit Ethernet Consortium 121 Technology Drive, Suite 2 Durham, NH 03824 University

More information

VHDL MODEL OF SMART SENSOR

VHDL MODEL OF SMART SENSOR VHDL MODEL OF SMART SENSOR 1 MS. VAISHALI M. BAGADE, 2 MR M.B.LIMKAR 1Electronics Department 1, TERNA College, Nerul 2 Electronics & Telecommunication Department, TERNA College, Navi Mumbai Email: 1 vaishali.bagade2@gmail.com,

More information

Pieter van den Hombergh. Fontys Hogeschool voor Techniek en Logistiek. September 9, 2016

Pieter van den Hombergh. Fontys Hogeschool voor Techniek en Logistiek. September 9, 2016 Pieter van den Hombergh Fontys Hogeschool voor Techniek en Logistiek September 9, 2016 Contents /FHTenL September 9, 2016 2/35 UML State Uses and application In behaviour is modeled with state charts (diagrams)

More information

Exception Handling in S88 using Grafchart *

Exception Handling in S88 using Grafchart * Presented at the World Batch Forum North American Conference Woodcliff Lake, NJ April 7-10, 2002 107 S. Southgate Drive Chandler, Arizona 85226-3222 480-893-8803 Fax 480-893-7775 E-mail: info@wbf.org www.wbf.org

More information

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a

PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a PCI-X Protocol Addendum to the PCI Local Bus Specification Revision 2.0a July 22, 2003 REVISION REVISION HISTORY DATE 1.0 Initial release. 9/22/99 1.0a Clarifications and typographical corrections. 7/24/00

More information

Use-Case Driven Domain Analysis for Milk Production Information Systems

Use-Case Driven Domain Analysis for Milk Production Information Systems Use-Case Driven Domain Analysis for Milk Production Information Systems Andrea Carla Alves Borim a, Antônio Mauro Saraiva b and Carlos Alberto Ramos Pinto c a Faculdade Comunitária de Campinas Anhanguera

More information

Analysis of Virtual Local Area Networking Technology. Zheng Zhang

Analysis of Virtual Local Area Networking Technology. Zheng Zhang 6th International Conference on Machinery, Materials, Environment, Biotechnology and Computer (MMEBC 2016) Analysis of Virtual Local Area Networking Technology Zheng Zhang Jiangxi Vocational and Technical

More information

Towards Formalizing Domain-specific Modeling Languages. Kai Chen Janos Sztipanovits Sandeep Neema

Towards Formalizing Domain-specific Modeling Languages. Kai Chen Janos Sztipanovits Sandeep Neema Towards Formalizing Domain-specific Modeling Languages Kai Chen Janos Sztipanovits Sandeep Neema Outline! DSML overview! Framework for DSML design Syntax definition Semantic domain specification Semantic

More information

Guido Sandmann MathWorks GmbH. Michael Seibt Mentor Graphics GmbH ABSTRACT INTRODUCTION - WORKFLOW OVERVIEW

Guido Sandmann MathWorks GmbH. Michael Seibt Mentor Graphics GmbH ABSTRACT INTRODUCTION - WORKFLOW OVERVIEW 2012-01-0962 AUTOSAR-Compliant Development Workflows: From Architecture to Implementation Tool Interoperability for Round-Trip Engineering and Verification & Validation Copyright 2012 The MathWorks, Inc.

More information

Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased

Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased Optimized architectures of CABAC codec for IA-32-, DSP- and FPGAbased platforms Damian Karwowski, Marek Domański Poznan University of Technology, Chair of Multimedia Telecommunications and Microelectronics

More information

UNIVERSITY OF OSLO Department of Informatics. Exploration of UML State Machine implementations in Java. Master thesis. Morten Olav Hansen

UNIVERSITY OF OSLO Department of Informatics. Exploration of UML State Machine implementations in Java. Master thesis. Morten Olav Hansen UNIVERSITY OF OSLO Department of Informatics Exploration of UML State Machine implementations in Java Master thesis Morten Olav Hansen February 15, 2011 Contents 1 Introduction 8 1.1 Motivation...............................

More information

Implementing a Self-Checking PROFIBUS Slave

Implementing a Self-Checking PROFIBUS Slave Implementing a Self-Checking PROFIBUS Slave Margrit Reni Krug* Marcelo Lubaszewski* José Manuel Martins Ferreira** Gustavo Ribeiro da Costa Alves** margrit@inf.ufrgs.br, luba@iee.ufrgs.br jmf@fe.up.pt,

More information

Comparative Analysis of Architectural Views Based on UML

Comparative Analysis of Architectural Views Based on UML Electronic Notes in Theoretical Computer Science 65 No. 4 (2002) URL: http://www.elsevier.nl/locate/entcs/volume65.html 12 pages Comparative Analysis of Architectural Views Based on UML Lyrene Fernandes

More information

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors

Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Full Chip False Timing Path Identification: Applications to the PowerPC TM Microprocessors Jing Zeng yz, Magdy S. Abadir y, Jayanta Bhadra yz, and Jacob A. Abraham z y EDA Tools and Methodology, Motorola

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Compiler Design

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Compiler Design i About the Tutorial A compiler translates the codes written in one language to some other language without changing the meaning of the program. It is also expected that a compiler should make the target

More information

CRITERION Vantage 3 Admin Training Manual Contents Introduction 5

CRITERION Vantage 3 Admin Training Manual Contents Introduction 5 CRITERION Vantage 3 Admin Training Manual Contents Introduction 5 Running Admin 6 Understanding the Admin Display 7 Using the System Viewer 11 Variables Characteristic Setup Window 19 Using the List Viewer

More information

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University

Hardware Design Environments. Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Hardware Design Environments Dr. Mahdi Abbasi Computer Engineering Department Bu-Ali Sina University Outline Welcome to COE 405 Digital System Design Design Domains and Levels of Abstractions Synthesis

More information

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines

Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines Design of a Totally Self Checking Signature Analysis Checker for Finite State Machines M. Ottavi, G. C. Cardarilli, D. Cellitti, S. Pontarelli, M. Re, A. Salsano Department of Electronic Engineering University

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

5 The Control Structure Diagram (CSD)

5 The Control Structure Diagram (CSD) 5 The Control Structure Diagram (CSD) The Control Structure Diagram (CSD) is an algorithmic level diagram intended to improve the comprehensibility of source code by clearly depicting control constructs,

More information

TDD for Embedded Systems: A Basic Approach and Toolset

TDD for Embedded Systems: A Basic Approach and Toolset TDD for Embedded Systems: A Basic Approach and Toolset Rogerio Atem de Carvalho, Hudson Silva, Rafael Ferreira Toledo, Milena Silveira de Azevedo Scientific Computing Group (C2), Centre for Embedded and

More information

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation

A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation A Matlab/Simulink Simulation Approach for Early Field-Programmable Gate Array Hardware Evaluation Celso Coslop Barbante, José Raimundo de Oliveira Computing Laboratory (COMLAB) Department of Computer Engineering

More information

EE382V: System-on-a-Chip (SoC) Design

EE382V: System-on-a-Chip (SoC) Design EE382V: System-on-a-Chip (SoC) Design Lecture 8 HW/SW Co-Design Sources: Prof. Margarida Jacome, UT Austin Andreas Gerstlauer Electrical and Computer Engineering University of Texas at Austin gerstl@ece.utexas.edu

More information

VLSI Design Automation

VLSI Design Automation VLSI Design Automation IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing Programmable PLA, FPGA Embedded systems Used in cars,

More information

Embedded Smart Home System Based on ZigBee Song Chi

Embedded Smart Home System Based on ZigBee Song Chi International Conference on Intelligent Systems Research and Mechatronics Engineering (ISRME 2015) Embedded Smart Home System Based on ZigBee Song Chi Liaoning Jidian Polytechnic North Gold and Jewelry

More information

VLSI Design Automation. Maurizio Palesi

VLSI Design Automation. Maurizio Palesi VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 Outline Technology trends VLSI Design flow (an overview) 3 IC Products Processors CPU, DSP, Controllers Memory chips

More information

States Transitions Connectors Esterel Studio

States Transitions Connectors Esterel Studio Time in Differences SyncCharts differ from other implementations of : Synchronous framework Determinism Compilation into backend language Esterel No interpretation for simulations No hidden behaviour Multiple

More information

Figure 1. Closed-loop model.

Figure 1. Closed-loop model. Model Transformation between MATLAB Simulink and Function Blocks Chia-han (John) Yang and Valeriy Vyatkin Department of Electrical and Computer Engineering University of Auckland cyan034@ec.auckland.ac.nz,

More information

Building Data Path for the Custom Instruction. Yong ZHU *

Building Data Path for the Custom Instruction. Yong ZHU * 2017 2nd International Conference on Computer, Mechatronics and Electronic Engineering (CMEE 2017) ISBN: 978-1-60595-532-2 Building Data Path for the Custom Instruction Yong ZHU * School of Computer Engineering,

More information

BiSS C (unidirectional) PROTOCOL DESCRIPTION

BiSS C (unidirectional) PROTOCOL DESCRIPTION Rev A2, Page 1/10 FEATURES Unidirectional sensor interface Synchronous, real-time-capable data transmission Fast, serial, safe Point-to-point or multiple slaves networks Compact and cost-effective Open

More information

Design For High Performance Flexray Protocol For Fpga Based System

Design For High Performance Flexray Protocol For Fpga Based System IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) e-issn: 2319 4200, p-issn No. : 2319 4197 PP 83-88 www.iosrjournals.org Design For High Performance Flexray Protocol For Fpga Based System E. Singaravelan

More information

Model-based Design/Simulation

Model-based Design/Simulation Fast development of controllers and sequence controllers The MATLAB program package and the associated toolbox, Simulink from Mathworks Inc. are considered to be the worldwide standard in the area of modeling

More information

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD.

Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic CAD. HARDWARE DESCRIPTION Mehran M. Massoumi, HDL Research & Development, Averant Inc., USA Keywords: HDL, Hardware Language, Digital Design, Logic Design, RTL, Register Transfer, VHDL, Verilog, VLSI, Electronic

More information

Applying March Tests to K-Way Set-Associative Cache Memories

Applying March Tests to K-Way Set-Associative Cache Memories 13th European Test Symposium Applying March Tests to K-Way Set-Associative Cache Memories Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Politecnico di Torino, Dep. of Control and Computer

More information

1.6 Configuring Intelligent Devices

1.6 Configuring Intelligent Devices 1.6 Configuring Intelligent Devices J. BERGE (2003) DESIGN FEATURE RECOMMENDATIONS Use permanently connected communications infrastructure. For the HART Field Communications Protocol, use a handheld with

More information

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design

Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Bulletproofing FSM Verification Automated Approach to Detect Corner Case Issues in an FSM Design Lisa Piper Technical Marketing Real Intent Inc., Sunnyvale, CA Comprehensive verification of Finite State

More information

HARDWARE SOFTWARE CO-DESIGN

HARDWARE SOFTWARE CO-DESIGN HARDWARE SOFTWARE CO-DESIGN BITS Pilani Dubai Campus Dr Jagadish Nayak Introduction BITS Pilani Dubai Campus What is this? Hardware/Software codesign investigates the concurrent design of hardware and

More information

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica

VLSI Design Automation. Calcolatori Elettronici Ing. Informatica VLSI Design Automation 1 Outline Technology trends VLSI Design flow (an overview) 2 IC Products Processors CPU, DSP, Controllers Memory chips RAM, ROM, EEPROM Analog Mobile communication, audio/video processing

More information

A semi-incremental recognition method for on-line handwritten Japanese text

A semi-incremental recognition method for on-line handwritten Japanese text 2013 12th International Conference on Document Analysis and Recognition A semi-incremental recognition method for on-line handwritten Japanese text Cuong Tuan Nguyen, Bilan Zhu and Masaki Nakagawa Department

More information

Transforming UML Collaborating Statecharts for Verification and Simulation

Transforming UML Collaborating Statecharts for Verification and Simulation Transforming UML Collaborating Statecharts for Verification and Simulation Patrick O. Bobbie, Yiming Ji, and Lusheng Liang School of Computing and Software Engineering Southern Polytechnic State University

More information

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization

Power-Mode-Aware Buffer Synthesis for Low-Power Clock Skew Minimization This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Power-Mode-Aware Buffer Synthesis for Low-Power

More information

FPGA for Software Engineers

FPGA for Software Engineers FPGA for Software Engineers Course Description This course closes the gap between hardware and software engineers by providing the software engineer all the necessary FPGA concepts and terms. The course

More information

Bulk Creation of Data Acquisition Parameters

Bulk Creation of Data Acquisition Parameters Bulk Creation of Data Acquisition Parameters Item Type text; Proceedings Authors Kupferschmidt, Benjamin Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink

Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Reducing the cost of FPGA/ASIC Verification with MATLAB and Simulink Graham Reith Industry Manager Communications, Electronics and Semiconductors MathWorks Graham.Reith@mathworks.co.uk 2015 The MathWorks,

More information

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS

CHAPTER - 2 : DESIGN OF ARITHMETIC CIRCUITS Contents i SYLLABUS osmania university UNIT - I CHAPTER - 1 : BASIC VERILOG HDL Introduction to HDLs, Overview of Digital Design With Verilog HDL, Basic Concepts, Data Types, System Tasks and Compiler

More information

ISO INTERNATIONAL STANDARD. Information and documentation Managing metadata for records Part 2: Conceptual and implementation issues

ISO INTERNATIONAL STANDARD. Information and documentation Managing metadata for records Part 2: Conceptual and implementation issues INTERNATIONAL STANDARD ISO 23081-2 First edition 2009-07-01 Information and documentation Managing metadata for records Part 2: Conceptual and implementation issues Information et documentation Gestion

More information

Accelerating Simulink Optimization, Code Generation & Test Automation Through Parallelization

Accelerating Simulink Optimization, Code Generation & Test Automation Through Parallelization Accelerating Simulink Optimization, Code Generation & Test Automation Through Parallelization Ryan Chladny Application Engineering May 13 th, 2014 2014 The MathWorks, Inc. 1 Design Challenge: Electric

More information

Toward a Semantic Anchoring Infrastructure for Domain-Specific Modeling Languages

Toward a Semantic Anchoring Infrastructure for Domain-Specific Modeling Languages Toward a Semantic Anchoring Infrastructure for Domain-Specific Modeling Languages Kai Chen Institute for Software Integrated Systems Vanderbilt University, Nashville, TN, 37205 chenk@isis.vanderbilt.edu

More information

A Modeling Framework for Control Fault Tolerant Reactive Systems

A Modeling Framework for Control Fault Tolerant Reactive Systems A Modeling Framework for Control Fault Tolerant Reactive Systems Doug Densmore and Shannon Zelinski Department of Electrical Engineering and Computer Sciences University of California, Berkeley December

More information

Miniaturized Multi-Channel Thermocouple Sensor System

Miniaturized Multi-Channel Thermocouple Sensor System Miniaturized Multi-Channel Thermocouple Sensor System Feb. 23 Dr. Darold Wobschall and Avarachan Cherian Esensors Inc. IEEE SAS 2011 1 Agenda Goals Handles multiple thermocouples Reference junction compensation

More information

41126 Cognento (MODENA) Italy Via Bottego 33/A Tel: +39-(0) Internet: Fax: +39-(0)

41126 Cognento (MODENA) Italy Via Bottego 33/A Tel: +39-(0) Internet:     Fax: +39-(0) QUICK ANALYZER User Guide Version 5.3 Index 1.0 Generality... 2 LICENSE AGREEMENT... 3 2.0 Channels Configuration... 4 2.1 IdroScan Data Log Management... 6 3.0 Test Results... 9 4.0 Excel Export... 10

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

Chapter 3. Describing Syntax and Semantics

Chapter 3. Describing Syntax and Semantics Chapter 3 Describing Syntax and Semantics Chapter 3 Topics Introduction The General Problem of Describing Syntax Formal Methods of Describing Syntax Attribute Grammars Describing the Meanings of Programs:

More information