Detection of Weak Spots in Benchmarks Memory Space by using PCA and CA

Size: px
Start display at page:

Download "Detection of Weak Spots in Benchmarks Memory Space by using PCA and CA"

Transcription

1 Leonardo Electronic Journal of Practices and Technologies ISSN Issue 16, January-June 2010 p Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR *, Fazal NOORBASHA and Ram Asaray SINGH Department of Physics and Electronics, Dr. H. S. Gour University, Sagar, India * kareemskpa@hotmail.com ( * Corresponding author: ) Abstract This paper describes the weak spots in SPEC CPU INT 2006 memory space by using Principal Component Analysis and Cluster Analysis. We used recently published SPEC CPU INT 2006 Benchmark scores of AMD Opteron and AMD Opteron series processors. The four most significant PCs, which are retained for 72.6% of the variance, PC2, PC3, and PC4 covers 26.5%, 2.9%, 0.91% and 0.019% variance respectively. The dendrogram is useful to identify the similarities and dissimilarities between the benchmarks in workload space. These results and analysis can be used by performance engineers, scientists and developers to better understand the benchmark behavior in workload space and to design a Benchmark Suite that covers the complete workload space. Keywords SPEC CPU INT 2006, Principal Component Analysis (PCA), Cluster Analysis (CA), Performance. Introduction AMD Opteron and AMD Opteron Series Processors are the members of a new family of seventh-generation AMD processors designed to meet the computation- 43

2 Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR, Fazal NOORBASHA and Ram Asaray SINGH intensive requirements of cutting-edge software applications running on high-performance desktop systems, workstations, and servers with most advanced x86-64 architecture. The technology innovation for the x86 architecture drives today's personal computers. It incorporates the most new microarchitectural features including a more than 200MHz system bus, a highperformance cache architecture and enhanced 3DNow! technology. 3DNow! technology is a set of 21 new instructions designed to open the traditional processing bottlenecks for floating-point-intensive and multimedia applications. The 3DNow! technology enables faster frame rates on high resolution scenes, better physical modeling of real-world environments, sharper and more detailed 3D imaging, smoother video playback, and near theater quality audio. Future AMD processors designed to operate at a frequencies grater than of 3GHz, should provide even high performance implementations of 3DNow! technology [1]. AMD Athlon processors are manufactured on AMD s robust 0.18-micron aluminum process technology and on AMD s leading-edge HiP6L 0.18-micron process technology featuring copper interconnects. The approximately 37-million-transistor new AMD Athlon processor has a die size of 120 mm2 on 0.18-micron technology [2]. Computer architectural complexity is growing so dramatically, the performance becomes an important approach to take full advantage of hardware s computational potential [3]. The CMOS scaling leading to ever increasing level of transistor integration on a chip, designers of high performance embedded processors have ample area available to increase processor resources in order to improve performance [4]. The SPEC CPU2006 benchmark suite contains several programs from different application areas such as Physics, Artificial intelligence and Combinatorial Optimization etc. The recently released SPEC CPU2006 benchmark suite is expected to be used by computer designers and computer architecture researchers for pre-silicon early design analysis [5]. Accuracy of the processor performance depends on the selected benchmarks in simulation study. The selected benchmarks should cover the vide spectrum of the application area. Increase in benchmarks program accelerates the simulation time, at the same time improper selection of the benchmarks may not accurately determines the performance of the processor Increasing size of the benchmarks makes detailed simulation an extremely time consuming process[6]. In this paper we have detected the hotspots in benchmarks memory space by using AMD Opteron and AMD Opteron series processors SPEC CPU INT

3 Leonardo Electronic Journal of Practices and Technologies ISSN Issue 16, January-June 2010 p performance scores, PCA and CA techniques. The similarities and dissimilarities between the benchmarks have been identified. Scope of This Study Building a high-performance microprocessor presents many reliability challenges. Today we are moving towards the nanotechnology era and also from 32-bit processor environment to 64-bit processor environment. The analysis of our study examines the weak spots in different series of AMD processors (AMD Opteron and AMD Opteron Series) which are fabricated for the requirement of the modern generation utility. This study is helpful to build complete benchmark suite which covers the entire spectrum of the application area and to predict the performance of the processor more accurately. We previously reported the performance prediction of the processors and evaluated scalability of the Memory Wait Time which degraded the performance of the processor by using a simple statistical correlation technique [7]. This analysis is more useful to performance engineers, scientists and developers to better understand benchmark behavior in workload space, and the scalability of the performance in modern generation commercial processors. are used for the performance evolution of the processors. The SPEC, HINT, and TPC are most important and popular benchmarks are available for performance evolution. SPEC is a nonprofit corporation formed to establish, maintain, and endorse a standardized set of benchmarks. SPEC s membership includes computer hardware and software vendors, leading universities, and research facilities worldwide. SPEC CPU2006 is designed to provide a comparative measure of compute-intensive performance across a range of hardware. Comprised of two suites of benchmarks, SPEC CPU2006 gauges computeintensive integer performance with CINT2006 and measures floating-point performance with CFP2006. CINT2006 and CFP2006 results are presented as ratios, which are calculated using a reference time determined by SPEC and the runtime of the benchmark higher scores indicate better performance [8]. 45

4 Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR, Fazal NOORBASHA and Ram Asaray SINGH Table 1. The CINT 2006 Suite S. No Integer Benchmark Language Description 1 C++ PERL Programming Language 2 C Data Compression 3 C C Language Optimizing Compiler 4 C Combinatorial Optimization 5 C Artificial Intelligence : Game Playing 6 C Search a Gene Sequence Database 7 C Artificial Intelligence : Chess 8 C Physics / Quantum Computing 9 C Video Compression 10 C++ Discrete Event Simulation 11 C++ Path Finding Algorithm 12 C++ XSLT Processor Table 2. The CFP2006 Suite S. No Floating Point Language Description Benchmark bwaves Fortran 77 Computational Fluid Dynamics gamess Fortran Quantum Chemical Computations milc C Physics / Quantum Chromo Dynamics zeusmp Fortran 77 Physics / Magneto Hydro Dynamics gromacs C/Fortran Chemistry / Molecular Dynamics cactusADM C / Fortran-90 Physics / General Relativity leslie3d Fortran 90 Computational Fluid Dynamics namd C++ Scientific, Structural Biology, Classical Molecular Dynamics Simulation dealII C++ Solution of Partial Differential Equations using the Adaptive Finite Element Method soplex C++ Simplex Linear Programming Solver povray C++ Computer Visualization / Ray Tracing calculix C/Fortran-90 Structural Mechanics GemsFDTD Fortran-90 Computational Electromagnetic tonto Fortran-95 Quantum Crystallography lbm C Computational Fluid Dynamics wrf C/Fortran 90 Weather Processing sphinx3 C Speech Recognition The SPEC CPU2006 suite contains 18 floating-point programs (Some programs are written in C and some in FORTRAN) and 13 integer programs (8 written in C, 4 in C++ and 1 in ANSI C). Table.1 and Table 2 provides a list of the benchmarks in SPEC CPU2006 suite. The SPEC CPU2006 benchmarks replace the SPEC89, SPEC92, SPEC95 and SPEC CPU 46

5 Leonardo Electronic Journal of Practices and Technologies ISSN Issue 16, January-June 2010 p benchmarks [8, 9, 10]. Methodology In this study we use the integer benchmarks from the newly released SPEC CPU2006 suite for the detection of weak spots in this analysis. Benchmark scores for AMD Opteron series processors and AMD Opteron series are obtained under the same operating conditions. We reported the performance scaling in AMD Opteron series processors and AMD Opteron series Processors [7]. Principal Component analysis and Cluster Analysis is used to identify the weak spots in workload memory space and to find the similarities and dissimilarities between different benchmarks in workload memory space. We used commercial statistical software called STATISTICA v.7.0 [11] for evaluating PCA and CA. Results and Discussion Using the Benchmark scores of AMD Opteron series processors and AMD Opteron series processors we obtained four most significant principal components, the first principal component (PC1) covers 79.6%, PC2 (26.5%), PC3 (0.91%) and PC4 (0.019%) of variance respectively. Among all PCs the first two principal components gives important information about benchmark behavior. The eigenvalues scree plot of all principal components, (PC1-PC4) is shown in Figure 1. Figure 2, shows the benchmarks behavior in PC1 and PC2 memory space. Among all the benchmarks shows high deviation in memory space. The benchmarks (C Language Optimizing Compiler) and (Discrete Event Simulation) are overlapped at top of the memory space by showing high variance, the benchmarks (PERL Programming Language) and (Video Compression) and the benchmark (Artificial Intelligence : Game Playing) and (XSLT Processor) are overlapped at the bottom of the memory space, these benchmarks can only increase the simulation time without providing an extra information. These weak spot in the memory space was represented by gray shapes in memory space of PC1 vs. PC2. These 47

6 Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR, Fazal NOORBASHA and Ram Asaray SINGH weak spots identification provides the information to build a complete benchmark suite that covers a complete workload space % Eigenvalues % % % Principal Components Figure 1. Eigenvalues scree plot of all principal components, which explain the variance in the workload (PC1-PC4) Figure 2. SPEC CINT 2006 programs plotted in the PC space using memory access characteristics (PC1 vs. PC2), Weak spots are highlighted trough a gray shapes Figure 3 and Figure 4 shows the SPEC CINT 2006 programs plotted in the PC space using memory access characteristics, PC3vs. PC4 and PC2vs. PC3 respectively, Weak spots are highlighted trough a gray shapes. Figure 5 represents the variance in the four significant individual Principal Components. (a)-(d) Presents the variation of individual Principal component score corresponding to each benchmark, figure 5(a) shows the most significant 48

7 Leonardo Electronic Journal of Practices and Technologies ISSN Issue 16, January-June 2010 p variations in the in the benchmarks, PC1 covers 72.6% variation in memory space. The dissimilar behavior benchmarks are represented trough red circles in figure 5(a). Figure 3. SPEC CINT 2006 programs plotted in the PC space using memory access characteristics (PC2 vs. PC3), Weak spots are highlighted trough a gray shapes Figure 4. SPEC CINT 2006 programs plotted in the PC space using memory access characteristics (PC3 vs. PC4), Weak spots are highlighted trough a gray shapes Figure 6 shows the dendrogram, which explains similarities and dissimilarities in workload space of AMD Opteron and AMD Opteron Series Processors. The benchmarks and are linked with smaller linkage distance; on the other hand benchmark is useful for Physics / Quantum Computing shows long linkage distance. This dendrogram is useful for selecting benchmark suite for performance evolution. The line drawn at linkage distance L=400, can select K=4 benchmark, so, one can 49

8 Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR, Fazal NOORBASHA and Ram Asaray SINGH reduce the program execution time. Figure 7 shows the two-way Joining results of AMD Opteron and AMD Opteron Series Processors and SPEC CPU INT 2006 benchmarks. The benchmark shows high execution time which is represented trough 1800 score point boxes. Principal Component Principal Component (a) 0.5 (b) Principal Component Principal Component (c) (d) Figure 5. Represents the variance in the four significant Principal Components. (a)-(d) Presents the variation of individual Principal component score corresponding to each benchmark Disclaimer All the observations and analysis done in this paper on SPEC CPU2006int are the author s opinions and should not be used as official or unofficial guidelines from SPEC in selecting benchmarks for any purpose. This paper only provides guidelines for performance engineers, academic users, scientists and developers to better understand the benchmark suite and to build a complete benchmark suit which covers the entire spectrum of the memory space without weak spots. 50

9 Leonardo Electronic Journal of Practices and Technologies ISSN Issue 16, January-June 2010 p K=4, L=400 Smaller Linkage Distance Long Linkage Distance Linkage Distance Figure 6. Dendrogram showing the similarities and dissimilarities in workload space of AMD Opteron and AMD Opteron Series Processors Two-Way Joining Results AMD Opetron Figure 7. Cluster analysis of Two-way joining results showing the similarities and dissimilarities in workload space of AMD Opteron and AMD Opteron Series Processors Acknowledgement The authors would like to thank Prof. D. K. Gautam, Head, Department of Electronics, North Maharastra University, Jalgaon, (M.S), India, and Prof. Ravi Pandey, Professor and Department Chair, Michigan Tech. University, USA, for many stimulating comments and discussions. One of the authors (A.K.P.) gratefully acknowledges financial support of UGC for a meritorious research fellowship. 51

10 Detection of Weak Spots in Memory Space by using PCA and CA Abdul Kareem PARCHUR, Fazal NOORBASHA and Ram Asaray SINGH References 1. Oberman S., Favor G., Weber F., AMD 3DNow! Technology: architecture and implementations, IEEE_M_MICRO, 1999, 19, p AMD Architecture Manuals [online] [accessed on August, 2009]. Available at: 3. Xue Y., Zhao C., Automated Phase-Ordering of Loop Optimizations Based on Polyhedron Model, Proc. 10th IEEE International Conference on High Performance Computing and Communications HPCC '08, 2008, p Homayoun H., Pasricha S., Makhzar M., Veidenbaum A., Dynamic register file resizing and frequency scaling to improve embedded processor performance and energy-delay efficiency, Proc. 45th ACM/IEEE Design Automation Conference DAC 2008, 2008, p Aashish Phansalkar, Ajay Joshi and Lizy K. John, Analysis of Redundancy and Application Balance in the SPEC CPU2006 Benchmark Suite, ISCA 07, June 9-13, Nair A., John L., Simulation points for SPEC CPU 2006, Proc. IEEE International Conference on Computer Design ICCD 2008, 2008, p Abdul Kareem P., R. A. Singh, Performance Scaling of Individual SPEC INT 2006 Results for AMD Processors, Leonardo Electronic Journal of Practices and Technologies, 2009, 14, p SPEC CPU2000 Press Release FAQ [online] [accessed on August, 2009], Available at: faq.html 9. KleinOsowski A.J., Lilja D.J., MinneSPEC: A new SPEC benchmark workload for simulation-based computer architecture research, Computer Architecture Letters, 2002, 1, p Henning J.L., SPEC CPU2000: Measuring CPU performance in the new millennium. IEEE Computer, 2000, 33, p StatSoft, Inc. (2004). STATISTICA (data analysis software system), version 7, for windows. 52

UCB CS61C : Machine Structures

UCB CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 36 Performance 2010-04-23 Lecturer SOE Dan Garcia How fast is your computer? Every 6 months (Nov/June), the fastest supercomputers in

More information

Performance. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University

Performance. Jin-Soo Kim Computer Systems Laboratory Sungkyunkwan University Performance Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Defining Performance (1) Which airplane has the best performance? Boeing 777 Boeing

More information

UCB CS61C : Machine Structures

UCB CS61C : Machine Structures inst.eecs.berkeley.edu/~cs61c UCB CS61C : Machine Structures Lecture 38 Performance 2008-04-30 Lecturer SOE Dan Garcia How fast is your computer? Every 6 months (Nov/June), the fastest supercomputers in

More information

Architecture of Parallel Computer Systems - Performance Benchmarking -

Architecture of Parallel Computer Systems - Performance Benchmarking - Architecture of Parallel Computer Systems - Performance Benchmarking - SoSe 18 L.079.05810 www.uni-paderborn.de/pc2 J. Simon - Architecture of Parallel Computer Systems SoSe 2018 < 1 > Definition of Benchmark

More information

PIPELINING AND PROCESSOR PERFORMANCE

PIPELINING AND PROCESSOR PERFORMANCE PIPELINING AND PROCESSOR PERFORMANCE Slides by: Pedro Tomás Additional reading: Computer Architecture: A Quantitative Approach, 5th edition, Chapter 1, John L. Hennessy and David A. Patterson, Morgan Kaufmann,

More information

A Fast Instruction Set Simulator for RISC-V

A Fast Instruction Set Simulator for RISC-V A Fast Instruction Set Simulator for RISC-V Maxim.Maslov@esperantotech.com Vadim.Gimpelson@esperantotech.com Nikita.Voronov@esperantotech.com Dave.Ditzel@esperantotech.com Esperanto Technologies, Inc.

More information

Resource-Conscious Scheduling for Energy Efficiency on Multicore Processors

Resource-Conscious Scheduling for Energy Efficiency on Multicore Processors Resource-Conscious Scheduling for Energy Efficiency on Andreas Merkel, Jan Stoess, Frank Bellosa System Architecture Group KIT The cooperation of Forschungszentrum Karlsruhe GmbH and Universität Karlsruhe

More information

SEN361 Computer Organization. Prof. Dr. Hasan Hüseyin BALIK (2 nd Week)

SEN361 Computer Organization. Prof. Dr. Hasan Hüseyin BALIK (2 nd Week) + SEN361 Computer Organization Prof. Dr. Hasan Hüseyin BALIK (2 nd Week) + Outline 1. Overview 1.1 Basic Concepts and Computer Evolution 1.2 Performance Issues + 1.2 Performance Issues + Designing for

More information

Computer Architecture. Introduction

Computer Architecture. Introduction to Computer Architecture 1 Computer Architecture What is Computer Architecture From Wikipedia, the free encyclopedia In computer engineering, computer architecture is a set of rules and methods that describe

More information

CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate:

CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: CPI CPU Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: Clock cycle where: Clock rate = 1 / clock cycle f =

More information

Performance Characterization of SPEC CPU Benchmarks on Intel's Core Microarchitecture based processor

Performance Characterization of SPEC CPU Benchmarks on Intel's Core Microarchitecture based processor Performance Characterization of SPEC CPU Benchmarks on Intel's Core Microarchitecture based processor Sarah Bird ϕ, Aashish Phansalkar ϕ, Lizy K. John ϕ, Alex Mericas α and Rajeev Indukuru α ϕ University

More information

EKT 303 WEEK Pearson Education, Inc., Hoboken, NJ. All rights reserved.

EKT 303 WEEK Pearson Education, Inc., Hoboken, NJ. All rights reserved. + EKT 303 WEEK 2 2016 Pearson Education, Inc., Hoboken, NJ. All rights reserved. Chapter 2 + Performance Issues + Designing for Performance The cost of computer systems continues to drop dramatically,

More information

Information System Architecture Natawut Nupairoj Ph.D. Department of Computer Engineering, Chulalongkorn University

Information System Architecture Natawut Nupairoj Ph.D. Department of Computer Engineering, Chulalongkorn University 2110684 Information System Architecture Natawut Nupairoj Ph.D. Department of Computer Engineering, Chulalongkorn University Agenda Capacity Planning Determining the production capacity needed by an organization

More information

Energy Models for DVFS Processors

Energy Models for DVFS Processors Energy Models for DVFS Processors Thomas Rauber 1 Gudula Rünger 2 Michael Schwind 2 Haibin Xu 2 Simon Melzner 1 1) Universität Bayreuth 2) TU Chemnitz 9th Scheduling for Large Scale Systems Workshop July

More information

ISA-Aging. (SHRINK: Reducing the ISA Complexity Via Instruction Recycling) Accepted for ISCA 2015

ISA-Aging. (SHRINK: Reducing the ISA Complexity Via Instruction Recycling) Accepted for ISCA 2015 ISA-Aging (SHRINK: Reducing the ISA Complexity Via Instruction Recycling) Accepted for ISCA 2015 Bruno Cardoso Lopes, Rafael Auler, Edson Borin, Luiz Ramos, Rodolfo Azevedo, University of Campinas, Brasil

More information

A Dynamic Program Analysis to find Floating-Point Accuracy Problems

A Dynamic Program Analysis to find Floating-Point Accuracy Problems 1 A Dynamic Program Analysis to find Floating-Point Accuracy Problems Florian Benz fbenz@stud.uni-saarland.de Andreas Hildebrandt andreas.hildebrandt@uni-mainz.de Sebastian Hack hack@cs.uni-saarland.de

More information

Reducing the SPEC2006 Benchmark Suite for Simulation Based Computer Architecture Research

Reducing the SPEC2006 Benchmark Suite for Simulation Based Computer Architecture Research Reducing the SPEC2006 Benchmark Suite for Simulation Based Computer Architecture Research Joel Hestness jthestness@uwalumni.com Lenni Kuff lskuff@uwalumni.com Computer Science Department University of

More information

Footprint-based Locality Analysis

Footprint-based Locality Analysis Footprint-based Locality Analysis Xiaoya Xiang, Bin Bao, Chen Ding University of Rochester 2011-11-10 Memory Performance On modern computer system, memory performance depends on the active data usage.

More information

Last time. Lecture #29 Performance & Parallel Intro

Last time. Lecture #29 Performance & Parallel Intro CS61C L29 Performance & Parallel (1) inst.eecs.berkeley.edu/~cs61c CS61C : Machine Structures Lecture #29 Performance & Parallel Intro 2007-8-14 Scott Beamer, Instructor Paper Battery Developed by Researchers

More information

Lightweight Memory Tracing

Lightweight Memory Tracing Lightweight Memory Tracing Mathias Payer*, Enrico Kravina, Thomas Gross Department of Computer Science ETH Zürich, Switzerland * now at UC Berkeley Memory Tracing via Memlets Execute code (memlets) for

More information

Improving Cache Performance by Exploi7ng Read- Write Disparity. Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A.

Improving Cache Performance by Exploi7ng Read- Write Disparity. Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A. Improving Cache Performance by Exploi7ng Read- Write Disparity Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A. Jiménez Summary Read misses are more cri?cal than write misses

More information

Improving Cache Performance by Exploi7ng Read- Write Disparity. Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A.

Improving Cache Performance by Exploi7ng Read- Write Disparity. Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A. Improving Cache Performance by Exploi7ng Read- Write Disparity Samira Khan, Alaa R. Alameldeen, Chris Wilkerson, Onur Mutlu, and Daniel A. Jiménez Summary Read misses are more cri?cal than write misses

More information

Microarchitecture Overview. Performance

Microarchitecture Overview. Performance Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 15, 2007 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make

More information

Open Access Research on the Establishment of MSR Model in Cloud Computing based on Standard Performance Evaluation

Open Access Research on the Establishment of MSR Model in Cloud Computing based on Standard Performance Evaluation Send Orders for Reprints to reprints@benthamscience.ae The Open Automation and Control Systems Journal, 2015, 7, 821-825 821 Open Access Research on the Establishment of MSR Model in Cloud Computing based

More information

Memory Performance Characterization of SPEC CPU2006 Benchmarks Using TSIM1

Memory Performance Characterization of SPEC CPU2006 Benchmarks Using TSIM1 Available online at www.sciencedirect.com Physics Procedia 33 (2012 ) 1029 1035 2012 International Conference on Medical Physics and Biomedical Engineering Memory Performance Characterization of SPEC CPU2006

More information

NightWatch: Integrating Transparent Cache Pollution Control into Dynamic Memory Allocation Systems

NightWatch: Integrating Transparent Cache Pollution Control into Dynamic Memory Allocation Systems NightWatch: Integrating Transparent Cache Pollution Control into Dynamic Memory Allocation Systems Rentong Guo 1, Xiaofei Liao 1, Hai Jin 1, Jianhui Yue 2, Guang Tan 3 1 Huazhong University of Science

More information

Benchmarking Clusters with High Core-Count Nodes

Benchmarking Clusters with High Core-Count Nodes Benchmarking Clusters with High Core-Count Nodes 9 th LCI International Conference on High- Performance Clustered Computing 29 April, 2008 Tom Elken Manager, Performance Engineering QLogic Corporation

More information

Sandbox Based Optimal Offset Estimation [DPC2]

Sandbox Based Optimal Offset Estimation [DPC2] Sandbox Based Optimal Offset Estimation [DPC2] Nathan T. Brown and Resit Sendag Department of Electrical, Computer, and Biomedical Engineering Outline Motivation Background/Related Work Sequential Offset

More information

Near-Threshold Computing: How Close Should We Get?

Near-Threshold Computing: How Close Should We Get? Near-Threshold Computing: How Close Should We Get? Alaa R. Alameldeen Intel Labs Workshop on Near-Threshold Computing June 14, 2014 Overview High-level talk summarizing my architectural perspective on

More information

Pradip Bose Tom Conte IEEE Computer May 1998

Pradip Bose Tom Conte IEEE Computer May 1998 Performance Analysis and Its Impact on Design Pradip Bose Tom Conte IEEE Computer May 1998 Performance Evaluation Architects should not write checks that designers cannot cash. Do architects know their

More information

Introducing the GCC to the Polyhedron Model

Introducing the GCC to the Polyhedron Model 1/15 Michael Claßen University of Passau St. Goar, June 30th 2009 2/15 Agenda Agenda 1 GRAPHITE Introduction Status of GRAPHITE 2 The Polytope Model in GRAPHITE What code can be represented? GPOLY - The

More information

Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon?

Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? Wait of a Decade: Did SPEC CPU 2017 Broaden the Performance Horizon? Reena Panda, Shuang Song, Joseph Dean, Lizy K. John The University of Texas at Austin reena.panda@utexas.edu, songshuang1990@utexas.edu,

More information

Performance, Power, Die Yield. CS301 Prof Szajda

Performance, Power, Die Yield. CS301 Prof Szajda Performance, Power, Die Yield CS301 Prof Szajda Administrative HW #1 assigned w Due Wednesday, 9/3 at 5:00 pm Performance Metrics (How do we compare two machines?) What to Measure? Which airplane has the

More information

Energy Proportional Datacenter Memory. Brian Neel EE6633 Fall 2012

Energy Proportional Datacenter Memory. Brian Neel EE6633 Fall 2012 Energy Proportional Datacenter Memory Brian Neel EE6633 Fall 2012 Outline Background Motivation Related work DRAM properties Designs References Background The Datacenter as a Computer Luiz André Barroso

More information

Microarchitecture Overview. Performance

Microarchitecture Overview. Performance Microarchitecture Overview Prof. Scott Rixner Duncan Hall 3028 rixner@rice.edu January 18, 2005 Performance 4 Make operations faster Process improvements Circuit improvements Use more transistors to make

More information

Chapter 1: Introduction to the Microprocessor and Computer 1 1 A HISTORICAL BACKGROUND

Chapter 1: Introduction to the Microprocessor and Computer 1 1 A HISTORICAL BACKGROUND Chapter 1: Introduction to the Microprocessor and Computer 1 1 A HISTORICAL BACKGROUND The Microprocessor Called the CPU (central processing unit). The controlling element in a computer system. Controls

More information

Benchmarking CPU Performance

Benchmarking CPU Performance Benchmarking CPU Performance Many benchmarks available MHz (cycle speed of processor) MIPS (million instructions per second) Peak FLOPS Whetstone Stresses unoptimized scalar performance, since it is designed

More information

Memory Systems IRAM. Principle of IRAM

Memory Systems IRAM. Principle of IRAM Memory Systems 165 other devices of the module will be in the Standby state (which is the primary state of all RDRAM devices) or another state with low-power consumption. The RDRAM devices provide several

More information

time step first instruction second instruction

time step first instruction second instruction COMP1200 2001 Semester 1 61 Parallelism Microprocessors can use a variety of tricks to execute more instructions in a given amount of time. One of the most successful is to execute more than one instruction

More information

CS 61C: Great Ideas in Computer Architecture Performance and Floating-Point Arithmetic

CS 61C: Great Ideas in Computer Architecture Performance and Floating-Point Arithmetic CS 61C: Great Ideas in Computer Architecture Performance and Floating-Point Arithmetic Instructors: Nick Weaver & John Wawrzynek http://inst.eecs.berkeley.edu/~cs61c/sp18 3/16/18 Spring 2018 Lecture #17

More information

Maximize Performance and Scalability of RADIOSS* Structural Analysis Software on Intel Xeon Processor E7 v2 Family-Based Platforms

Maximize Performance and Scalability of RADIOSS* Structural Analysis Software on Intel Xeon Processor E7 v2 Family-Based Platforms Maximize Performance and Scalability of RADIOSS* Structural Analysis Software on Family-Based Platforms Executive Summary Complex simulations of structural and systems performance, such as car crash simulations,

More information

Speedup Factor Estimation through Dynamic Behavior Analysis for FPGA

Speedup Factor Estimation through Dynamic Behavior Analysis for FPGA Speedup Factor Estimation through Dynamic Behavior Analysis for FPGA Zhongda Yuan 1, Jinian Bian 1, Qiang Wu 2, Oskar Mencer 2 1 Dept. of Computer Science and Technology, Tsinghua Univ., Beijing 100084,

More information

Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations

Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations Performance Brief Quad-Core Workstation Enhancing Analysis-Based Design with Quad-Core Intel Xeon Processor-Based Workstations With eight cores and up to 80 GFLOPS of peak performance at your fingertips,

More information

Addressing End-to-End Memory Access Latency in NoC-Based Multicores

Addressing End-to-End Memory Access Latency in NoC-Based Multicores Addressing End-to-End Memory Access Latency in NoC-Based Multicores Akbar Sharifi, Emre Kultursay, Mahmut Kandemir and Chita R. Das The Pennsylvania State University University Park, PA, 682, USA {akbar,euk39,kandemir,das}@cse.psu.edu

More information

CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines

CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines CS377P Programming for Performance Single Thread Performance Out-of-order Superscalar Pipelines Sreepathi Pai UTCS September 14, 2015 Outline 1 Introduction 2 Out-of-order Scheduling 3 The Intel Haswell

More information

Outline Marquette University

Outline Marquette University COEN-4710 Computer Hardware Lecture 1 Computer Abstractions and Technology (Ch.1) Cristinel Ababei Department of Electrical and Computer Engineering Credits: Slides adapted primarily from presentations

More information

Computing System Fundamentals/Trends + Review of Performance Evaluation and ISA Design

Computing System Fundamentals/Trends + Review of Performance Evaluation and ISA Design Computing System Fundamentals/Trends + Review of Performance Evaluation and ISA Design Computing Element Choices: Computing Element Programmability Spatial vs. Temporal Computing Main Processor Types/Applications

More information

Lecture 3 Notes Topic: Benchmarks

Lecture 3 Notes Topic: Benchmarks Lecture 3 Notes Topic: Benchmarks What do you want in a benchmark? o benchmarks must be representative of actual workloads o first few computers were benchmarked based on how fast they could add/multiply

More information

TESLA P100 PERFORMANCE GUIDE. HPC and Deep Learning Applications

TESLA P100 PERFORMANCE GUIDE. HPC and Deep Learning Applications TESLA P PERFORMANCE GUIDE HPC and Deep Learning Applications MAY 217 TESLA P PERFORMANCE GUIDE Modern high performance computing (HPC) data centers are key to solving some of the world s most important

More information

Computer Performance Evaluation: Cycles Per Instruction (CPI)

Computer Performance Evaluation: Cycles Per Instruction (CPI) Computer Performance Evaluation: Cycles Per Instruction (CPI) Most computers run synchronously utilizing a CPU clock running at a constant clock rate: where: Clock rate = 1 / clock cycle A computer machine

More information

QLogic TrueScale InfiniBand and Teraflop Simulations

QLogic TrueScale InfiniBand and Teraflop Simulations WHITE Paper QLogic TrueScale InfiniBand and Teraflop Simulations For ANSYS Mechanical v12 High Performance Interconnect for ANSYS Computer Aided Engineering Solutions Executive Summary Today s challenging

More information

Computer Performance Evaluation and Benchmarking. EE 382M Dr. Lizy Kurian John

Computer Performance Evaluation and Benchmarking. EE 382M Dr. Lizy Kurian John Computer Performance Evaluation and Benchmarking EE 382M Dr. Lizy Kurian John Evolution of Single-Chip Transistor Count 10K- 100K Clock Frequency 0.2-2MHz Microprocessors 1970 s 1980 s 1990 s 2010s 100K-1M

More information

Linux Performance on IBM zenterprise 196

Linux Performance on IBM zenterprise 196 Martin Kammerer martin.kammerer@de.ibm.com 9/27/10 Linux Performance on IBM zenterprise 196 visit us at http://www.ibm.com/developerworks/linux/linux390/perf/index.html Trademarks IBM, the IBM logo, and

More information

Advances of parallel computing. Kirill Bogachev May 2016

Advances of parallel computing. Kirill Bogachev May 2016 Advances of parallel computing Kirill Bogachev May 2016 Demands in Simulations Field development relies more and more on static and dynamic modeling of the reservoirs that has come a long way from being

More information

Computer System. Performance

Computer System. Performance Computer System Performance Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Department of Electrical Engineering Indian Institute of Technology Bombay http://www.ee.iitb.ac.in/~viren/

More information

TDT4255 Computer Design. Lecture 1. Magnus Jahre

TDT4255 Computer Design. Lecture 1. Magnus Jahre 1 TDT4255 Computer Design Lecture 1 Magnus Jahre 2 Outline Practical course information Chapter 1: Computer Abstractions and Technology 3 Practical Course Information 4 TDT4255 Computer Design TDT4255

More information

Multi-Level Cache Hierarchy Evaluation for Programmable Media Processors. Overview

Multi-Level Cache Hierarchy Evaluation for Programmable Media Processors. Overview Multi-Level Cache Hierarchy Evaluation for Programmable Media Processors Jason Fritts Assistant Professor Department of Computer Science Co-Author: Prof. Wayne Wolf Overview Why Programmable Media Processors?

More information

High Performance Computing: Architecture, Applications, and SE Issues. Peter Strazdins

High Performance Computing: Architecture, Applications, and SE Issues. Peter Strazdins High Performance Computing: Architecture, Applications, and SE Issues Peter Strazdins Department of Computer Science, Australian National University e-mail: peter@cs.anu.edu.au May 17, 2004 COMP1800 Seminar2-1

More information

Maximizing Memory Performance for ANSYS Simulations

Maximizing Memory Performance for ANSYS Simulations Maximizing Memory Performance for ANSYS Simulations By Alex Pickard, 2018-11-19 Memory or RAM is an important aspect of configuring computers for high performance computing (HPC) simulation work. The performance

More information

Higher Level Programming Abstractions for FPGAs using OpenCL

Higher Level Programming Abstractions for FPGAs using OpenCL Higher Level Programming Abstractions for FPGAs using OpenCL Desh Singh Supervising Principal Engineer Altera Corporation Toronto Technology Center ! Technology scaling favors programmability CPUs."#/0$*12'$-*

More information

Computer System architectures

Computer System architectures CSC 203 1.5 Computer System Architecture Budditha Hettige Department of Statistics and Computer Science University of Sri Jayewardenepura 1 Historical Developments 2 Computer Generation 1. Zeroth generation-

More information

AMD HyperTransport Technology-Based System Architecture

AMD HyperTransport Technology-Based System Architecture AMD Technology-Based ADVANCED MICRO DEVICES, INC. One AMD Place Sunnyvale, CA 94088 Page 1 AMD Technology-Based May 2002 Table of Contents Introduction... 3 AMD-8000 Series of Chipset Components Product

More information

Efficient Physical Register File Allocation with Thread Suspension for Simultaneous Multi-Threading Processors

Efficient Physical Register File Allocation with Thread Suspension for Simultaneous Multi-Threading Processors Efficient Physical Register File Allocation with Thread Suspension for Simultaneous Multi-Threading Processors Wenun Wang and Wei-Ming Lin Department of Electrical and Computer Engineering, The University

More information

Benchmarking CPU Performance. Benchmarking CPU Performance

Benchmarking CPU Performance. Benchmarking CPU Performance Cluster Computing Benchmarking CPU Performance Many benchmarks available MHz (cycle speed of processor) MIPS (million instructions per second) Peak FLOPS Whetstone Stresses unoptimized scalar performance,

More information

The information provided is intended to help designers and end users make performance

The information provided is intended to help designers and end users make performance Configuring and Tuning for Performance on Intel 5100 Memory Controller Hub Chipset Based Platforms Contributor Perry Taylor Intel Corporation Index Words Intel 5100 Memory Controller Hub chipset Intel

More information

Chapter 1. Computer Abstractions and Technology. Adapted by Paulo Lopes, IST

Chapter 1. Computer Abstractions and Technology. Adapted by Paulo Lopes, IST Chapter 1 Computer Abstractions and Technology Adapted by Paulo Lopes, IST The Computer Revolution Progress in computer technology Sustained by Moore s Law Makes novel and old applications feasible Computers

More information

CSCI 402: Computer Architectures. Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI.

CSCI 402: Computer Architectures. Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI. CSCI 402: Computer Architectures Computer Abstractions and Technology (4) Fengguang Song Department of Computer & Information Science IUPUI Contents 1.7 - End of Chapter 1 Power wall The multicore era

More information

TESLA P100 PERFORMANCE GUIDE. Deep Learning and HPC Applications

TESLA P100 PERFORMANCE GUIDE. Deep Learning and HPC Applications TESLA P PERFORMANCE GUIDE Deep Learning and HPC Applications SEPTEMBER 217 TESLA P PERFORMANCE GUIDE Modern high performance computing (HPC) data centers are key to solving some of the world s most important

More information

Potential for hardware-based techniques for reuse distance analysis

Potential for hardware-based techniques for reuse distance analysis Michigan Technological University Digital Commons @ Michigan Tech Dissertations, Master's Theses and Master's Reports - Open Dissertations, Master's Theses and Master's Reports 2011 Potential for hardware-based

More information

APPENDIX Summary of Benchmarks

APPENDIX Summary of Benchmarks 158 APPENDIX Summary of Benchmarks The experimental results presented throughout this thesis use programs from four benchmark suites: Cyclone benchmarks (available from [Cyc]): programs used to evaluate

More information

Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996

Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996 Lecture 1: Course Introduction and Overview Prof. Randy H. Katz Computer Science 252 Spring 1996 RHK.S96 1 Computer Architecture Is the attributes of a [computing] system as seen by the programmer, i.e.,

More information

Thesis Defense Lavanya Subramanian

Thesis Defense Lavanya Subramanian Providing High and Predictable Performance in Multicore Systems Through Shared Resource Management Thesis Defense Lavanya Subramanian Committee: Advisor: Onur Mutlu Greg Ganger James Hoe Ravi Iyer (Intel)

More information

Quantifying power consumption variations of HPC systems using SPEC MPI benchmarks

Quantifying power consumption variations of HPC systems using SPEC MPI benchmarks Center for Information Services and High Performance Computing (ZIH) Quantifying power consumption variations of HPC systems using SPEC MPI benchmarks EnA-HPC, Sept 16 th 2010, Robert Schöne, Daniel Molka,

More information

QLE10000 Series Adapter Provides Application Benefits Through I/O Caching

QLE10000 Series Adapter Provides Application Benefits Through I/O Caching QLE10000 Series Adapter Provides Application Benefits Through I/O Caching QLogic Caching Technology Delivers Scalable Performance to Enterprise Applications Key Findings The QLogic 10000 Series 8Gb Fibre

More information

Translation Caching: Skip, Don t Walk (the Page Table)

Translation Caching: Skip, Don t Walk (the Page Table) Translation Caching: Skip, Don t Walk (the Page Table) Thomas W. Barr, Alan L. Cox, Scott Rixner Rice University Houston, TX {twb, alc, rixner}@rice.edu ABSTRACT This paper explores the design space of

More information

Predicting Performance Impact of DVFS for Realistic Memory Systems

Predicting Performance Impact of DVFS for Realistic Memory Systems Predicting Performance Impact of DVFS for Realistic Memory Systems Rustam Miftakhutdinov Eiman Ebrahimi Yale N. Patt The University of Texas at Austin Nvidia Corporation {rustam,patt}@hps.utexas.edu ebrahimi@hps.utexas.edu

More information

Engineers can be significantly more productive when ANSYS Mechanical runs on CPUs with a high core count. Executive Summary

Engineers can be significantly more productive when ANSYS Mechanical runs on CPUs with a high core count. Executive Summary white paper Computer-Aided Engineering ANSYS Mechanical on Intel Xeon Processors Engineer Productivity Boosted by Higher-Core CPUs Engineers can be significantly more productive when ANSYS Mechanical runs

More information

Robert Jamieson. Robs Techie PP Everything in this presentation is at your own risk!

Robert Jamieson. Robs Techie PP Everything in this presentation is at your own risk! Robert Jamieson Robs Techie PP Everything in this presentation is at your own risk! PC s Today Basic Setup Hardware pointers PCI Express How will it effect you Basic Machine Setup Set the swap space Min

More information

GPU Computing and Its Applications

GPU Computing and Its Applications GPU Computing and Its Applications Bhavana Samel 1, Shubhrata Mahajan 2, Prof.A.M.Ingole 3 1 Student, Dept. of Computer Engineering, BVCOEL Pune, Maharashtra, India 2Student, Dept. of Computer Engineering,

More information

Alpha AXP Workstation Family Performance Brief - OpenVMS

Alpha AXP Workstation Family Performance Brief - OpenVMS DEC 3000 Model 500 AXP Workstation DEC 3000 Model 400 AXP Workstation INSIDE Digital Equipment Corporation November 20, 1992 Second Edition EB-N0102-51 Benchmark results: SPEC LINPACK Dhrystone X11perf

More information

ECE C61 Computer Architecture Lecture 2 performance. Prof. Alok N. Choudhary.

ECE C61 Computer Architecture Lecture 2 performance. Prof. Alok N. Choudhary. ECE C61 Computer Architecture Lecture 2 performance Prof Alok N Choudhary choudhar@ecenorthwesternedu 2-1 Today s s Lecture Performance Concepts Response Time Throughput Performance Evaluation Benchmarks

More information

Perceptron Learning for Reuse Prediction

Perceptron Learning for Reuse Prediction Perceptron Learning for Reuse Prediction Elvira Teran Zhe Wang Daniel A. Jiménez Texas A&M University Intel Labs {eteran,djimenez}@tamu.edu zhe2.wang@intel.com Abstract The disparity between last-level

More information

What is a parallel computer?

What is a parallel computer? 7.5 credit points Power 2 CPU L 2 $ IBM SP-2 node Instructor: Sally A. McKee General interconnection network formed from 8-port switches Memory bus Memory 4-way interleaved controller DRAM MicroChannel

More information

Chapter 1: Fundamentals of Quantitative Design and Analysis

Chapter 1: Fundamentals of Quantitative Design and Analysis 1 / 12 Chapter 1: Fundamentals of Quantitative Design and Analysis Be careful in this chapter. It contains a tremendous amount of information and data about the changes in computer architecture since the

More information

Computer Architecture!

Computer Architecture! Informatics 3 Computer Architecture! Dr. Vijay Nagarajan and Prof. Nigel Topham! Institute for Computing Systems Architecture, School of Informatics! University of Edinburgh! General Information! Instructors

More information

High Performance Computing with Accelerators

High Performance Computing with Accelerators High Performance Computing with Accelerators Volodymyr Kindratenko Innovative Systems Laboratory @ NCSA Institute for Advanced Computing Applications and Technologies (IACAT) National Center for Supercomputing

More information

MICROPROCESSOR TECHNOLOGY

MICROPROCESSOR TECHNOLOGY MICROPROCESSOR TECHNOLOGY Assis. Prof. Hossam El-Din Moustafa Lecture 20 Ch.10 Intel Core Duo Processor Architecture 2-Jun-15 1 Chapter Objectives Understand the concept of dual core technology. Look inside

More information

Computer Architecture

Computer Architecture Informatics 3 Computer Architecture Dr. Boris Grot and Dr. Vijay Nagarajan Institute for Computing Systems Architecture, School of Informatics University of Edinburgh General Information Instructors: Boris

More information

Bias Scheduling in Heterogeneous Multi-core Architectures

Bias Scheduling in Heterogeneous Multi-core Architectures Bias Scheduling in Heterogeneous Multi-core Architectures David Koufaty Dheeraj Reddy Scott Hahn Intel Labs {david.a.koufaty, dheeraj.reddy, scott.hahn}@intel.com Abstract Heterogeneous architectures that

More information

General Purpose GPU Computing in Partial Wave Analysis

General Purpose GPU Computing in Partial Wave Analysis JLAB at 12 GeV - INT General Purpose GPU Computing in Partial Wave Analysis Hrayr Matevosyan - NTC, Indiana University November 18/2009 COmputationAL Challenges IN PWA Rapid Increase in Available Data

More information

Introduction to Microprocessor

Introduction to Microprocessor Introduction to Microprocessor Slide 1 Microprocessor A microprocessor is a multipurpose, programmable, clock-driven, register-based electronic device That reads binary instructions from a storage device

More information

Figure 1-1. A multilevel machine.

Figure 1-1. A multilevel machine. 1 INTRODUCTION 1 Level n Level 3 Level 2 Level 1 Virtual machine Mn, with machine language Ln Virtual machine M3, with machine language L3 Virtual machine M2, with machine language L2 Virtual machine M1,

More information

Cluster Computing Paul A. Farrell 9/15/2011. Dept of Computer Science Kent State University 1. Benchmarking CPU Performance

Cluster Computing Paul A. Farrell 9/15/2011. Dept of Computer Science Kent State University 1. Benchmarking CPU Performance Many benchmarks available MHz (cycle speed of processor) MIPS (million instructions per second) Peak FLOPS Whetstone Stresses unoptimized scalar performance, since it is designed to defeat any effort to

More information

Dynamic Cache Pooling for Improving Energy Efficiency in 3D Stacked Multicore Processors

Dynamic Cache Pooling for Improving Energy Efficiency in 3D Stacked Multicore Processors Dynamic Cache Pooling for Improving Energy Efficiency in 3D Stacked Multicore Processors Jie Meng, Tiansheng Zhang, and Ayse K. Coskun Electrical and Computer Engineering Department, Boston University,

More information

CS3350B Computer Architecture CPU Performance and Profiling

CS3350B Computer Architecture CPU Performance and Profiling CS3350B Computer Architecture CPU Performance and Profiling Marc Moreno Maza http://www.csd.uwo.ca/~moreno/cs3350_moreno/index.html Department of Computer Science University of Western Ontario, Canada

More information

Package on Board Simulation with 3-D Electromagnetic Simulation

Package on Board Simulation with 3-D Electromagnetic Simulation White Paper Package on Board Simulation with 3-D Electromagnetic Simulation For many years, designers have taken into account the effect of package parasitics in simulation, from using simple first-order

More information

Computer Architecture: Multi-Core Processors: Why? Prof. Onur Mutlu Carnegie Mellon University

Computer Architecture: Multi-Core Processors: Why? Prof. Onur Mutlu Carnegie Mellon University Computer Architecture: Multi-Core Processors: Why? Prof. Onur Mutlu Carnegie Mellon University Moore s Law Moore, Cramming more components onto integrated circuits, Electronics, 1965. 2 3 Multi-Core Idea:

More information

The World s First Seventh-Generation x86 Processor: Delivering the Ultimate Performance for Cutting-Edge Software Applications

The World s First Seventh-Generation x86 Processor: Delivering the Ultimate Performance for Cutting-Edge Software Applications AMD Athlon Processor Architecture The World s First Seventh-Generation x86 Processor: Delivering the Ultimate Performance for Cutting-Edge Software Applications ADVANCED MICRO DEVICES, INC. One AMD Place

More information

HP PA-8000 RISC CPU. A High Performance Out-of-Order Processor

HP PA-8000 RISC CPU. A High Performance Out-of-Order Processor The A High Performance Out-of-Order Processor Hot Chips VIII IEEE Computer Society Stanford University August 19, 1996 Hewlett-Packard Company Engineering Systems Lab - Fort Collins, CO - Cupertino, CA

More information

CSE 591/392: GPU Programming. Introduction. Klaus Mueller. Computer Science Department Stony Brook University

CSE 591/392: GPU Programming. Introduction. Klaus Mueller. Computer Science Department Stony Brook University CSE 591/392: GPU Programming Introduction Klaus Mueller Computer Science Department Stony Brook University First: A Big Word of Thanks! to the millions of computer game enthusiasts worldwide Who demand

More information