Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014

Size: px
Start display at page:

Download "Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014"

Transcription

1 Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 UG031, Nov 18,

2 Copyright Info Copyright 2014 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and Speedster is a registered trademark of Achronix Semiconductor Corporation. All other trademarks are the property of their prospective owners. All specifications subject to change without notice. NOTICE of DISCLAIMER: The information given in this document is believed to be accurate and reliable. However, Achronix Semiconductor Corporation does not give any representations or warranties as to the completeness or accuracy of such information and shall have no liability for the use of the information contained herein. Achronix Semiconductor Corporation reserves the right to make changes to this document and the information contained herein at any time and without notice. All Achronix trademarks, registered trademarks, and disclaimers are listed at and use of this document and the Information contained therein is subject to such terms. 2 UG031, Nov 18, 2014

3 Table of Contents Table of Figures. 4 Features. 6 Introduction. 7 Interfaces.. 9 Internal (core) Interface. 9 External (off-chip) Interface.. 11 Parameters.. 11 Address Mapping.. 14 Write Interface Details. 15 Back-to-Back Write Protocol 2X Clock Mode.. 19 Write Protocol with Wide Bus Interface Enabled 21 Read Interface Details. 23 Back-to-Back Read Protocol 2X Clock Mode.. 25 Read Protocol with Wide Bus Interface Enabled 27 Memory Interface Latency 30 Customization using ACE. 31 Revision History. 32 UG031, Nov 18,

4 Table of Figures Figure 1: Location of Speedster22i DDR Controllers and PHYs 5 Figure 2: Top-level Overview of Embedded DDR Control Logic 7 Figure 3: Address mapping of ddr_int_addr Signal. 14 Figure 4: Write Interface 2X Clock Mode. 15 Figure 5: Internal Interface Write Protocol Timing Diagram 16 Figure 6: Internal Interface Write Protocol Timing Diagram with default value addrcmd_delay to Figure 7: Write Protocol Timing Diagram (SDRAM Interface) 18 Figure 8: Write Protocol Timing Diagram (Write requests with valid writes highlighted). 19 Figure 9: Write Protocol Timing Diagram (ddr_int_wrdata_req corresponding to respective writes highlighted).. 20 Figure 10: Write Interface with Wide Bus Interface Enabled. 22 Figure 11: Internal Interface Write Protocol Timing Diagram with Wide Bus Interface Enabled. 22 Figure 12: Read Interface 2X Clock Mode.. 24 Figure 13: Internal Interface Read Protocol Timing Diagram.. 24 Figure 14: External Interface Read Protocol Timing Diagram. 25 Figure 15: Read Protocol Timing Diagram (with valid read request highlighted). 26 Figure 16: Read Protocol Timing Diagram (with ddr_int_rddata_valid highlighted).. 26 Figure 17: Read Interface with Wide Bus Interface Enabled. 28 Figure 18: Internal Interface Read Protocol Timing Diagram with Wide Bus Interface Enabled.. 28 Figure 19: DDR3 Customization using ACE UG031, Nov 18, 2014

5 Overview Achronix s Speedster22i FPGAs contain up to six embedded DDR controllers which can be used to interface with and control off-chip DDR2 or DDR3 memory devices, including DIMMs. Each of the DDR controllers supports up to 72 bits of data and speeds of up to 1866 Mbps. The embedded DDR controllers and PHYs are implemented as Hard-IP blocks in the frame of the Speedster22i FPGAs as illustrated in Figure 1 below. Speedster22i FPGA WN EN WC Speedster22i Core EC WS ES DDR Controller DDR PHY DDR Memory (off-chip) Figure 1: Location of Speedster22i DDR Controllers and PHYs Speedster22i DDR controllers support both auto and custom modes. Under the auto mode functions such as (but not limited to) activating/precharging banks/rows, calibration algorithms, and initialization sequences are handled by the embedded DDR Controller and are transparent to the user. The mapping of byte-lanes to pins is also handled transparently as the IOs are included in embedded DDR PHY Macro. Under custom mode the user has the option to manually override functions such as automated refresh and initialization engines/sequences. UG031, Nov 18,

6 Features The features supported by the embedded DDR controllers are highlighted below: 1866 Mbps data rate The controller and PHY can run at 1066 MHz to achieve 1866 Mbps rate at the memory interface. A 2X Clock setting enables the core to run at half the speed - 533MHz. There is an additional feature to enable a wide bus interface, which effectively enables the core to run at one quarter the speed - 266MHz. The 2X clock setting and wide bus interfaces can be enabled at any data rate, thereby reducing the core frequency by half, or a quarter, respectively. 4 Chip Selects per controller The external memory connected to each controller can comprise of up to 4 ranks (either two dual-rank DIMMs or one quad rank DIMM) Registered DIMM and Unbuffered DIMM support Each controller can independently support either rdimms or udimms Address mirroring is supported. Multi-Burst Mode Each controller supports multi-burst mode, up to a burst length of 252 (DDR2) / 254 (DDR) / 248(DDR3). This allows the embedded controller to automatically issue up to 252 cascaded read or write commands to automatically increment addresses based on a single command from the Core Fabric Backwards Compatible Bypassable The embedded DDR controllers can support DDR3 (up to 1866 Mbps), DDR2 (up to 800 Mbps) and DDR protocols If the user does not require all six DDR controllers, any (or all) can be bypassed to leverage use of the designated I/Os for other purposes If the user does not require all 72-bits of the data bus, unused byte lanes can be bypassed to leverage use of the designated I/Os for other purposes Minimal LUT use The DDR controllers are embedded (hardened), and therefore do not use any of the LUTs in the core fabric LUTs are only required to interface the DDR Controllers Zero License fees 6 UG031, Nov 18, 2014

7 Introduction Speedster22i devices contain up to six embedded (Hardened) DDR Controllers. The instantiatable macros for these are called ddr3_xsize 1 _LOCATION 2 where size can be configured as 72,64,32,16 or 8 and location can be EN,EC,ES,WN,WC,WS for a device with six controllers, such as the Speedster22i HD1000. Each Macro is comprised of a DDR Controller and a DDR PHY, and is controlled by the user by means of the DDR driver logic. The DDR3 controller macros manage the interface between the DDR driving logic (housed within the Core Fabric) and the off-chip DDR memory itself. A more detailed description of these interfaces is illustrated in Figure 2 below. Speedster22i DDR Internal Interface (User Logic) DDR Control Macro Read/Write Shared Interface ddr_int_busy_align ddr_int_busy ddr_int_addr[33:0] ddr_int_burst_size[7:0] ddr_int_wrdata_valid ddr_int_wr_request External DDR Memory Write Interface Read Interface General Memory Control Interface Manual refresh control Interface Control Interface ddr_int_wrdata_req[8:0] ddr_int_wrdata_req_early[8:0] ddr_int_wrdata_req_align[8:0] ddr_int_wrdata_req_early_align[8:0] ddr_int_wrdata[287:0] ddr_int_wrdata_mask[17:0] ddr_int_rd_request ddr_int_rddata[287:0] ddr_int_rddata_valid [8:0] ddr_int_rddata_valid_early[8:0] ddr_int_rddata_valid_align[8:0] ddr_int_rddata_valid_early_align[8:0] ddr_int_cmd_auto_pch ddr_int_cmd_self_refresh[1:0] ddr_int_cmd_power_down[1:0] ddr_int_cmd_ref_req ddr_int_cmd_zq_cal_req[1:0] ddr_int_ref_ack ddr_int_zq_cal_ack ddr_int_init_ack ddr_int_init_wlvl_done reset_ddr_ctrlr_n reset_ddr_phy_n ddr_int_phy_ci_slave_adj ddr_int_phy_ci_slave_dqsn_en ddr_int_clk_div2 DDR Controller DDR PHY sd_clk_out_p[3:0] sd_clk_out_n[3:0] sd_reset_n sd_cas_n sd_ras_n sd_we_n sd_a[15:0] sd_ba[2:0] sd_cs_n[3:0] sd_odt[3:0] sd_cke[3:0] sd_dq[71:0] sd_dqsp[8:0] sd_dqsn[8:0] sd_dm[8:0] sd_dummy[8:0] ACX_PLL clk Figure 2: Top-level Overview of Embedded DDR Control Logic UG031, Nov 18,

8 The embedded DDR controller macro function performs: All required initialization sequences such as the programming of AL and CL values based on user-defined parameters All required calibration algorithms. This includes Write levelization DQS Enable (to control read-write turnaround of DQ/DQS bi-directional busses) DQS Delay (to skew the DQS by 90 degrees relative to the corresponding DQ, such that the latter can be sampled in the middle of the bit transition) Translation of READ and WRITE requests received from the DDR driver into DDR protocol i.e. RAS, CAS and WE Translation of data to and from SDR to DDR Maintaining integrity of memory contents by issuing periodic auto-refresh and zqcal commands Managing the activating and pre-charging of memory banks and rows, as required Managing the driving of the memory address pins (with column or row information, as well as A10 function (precharge-all, auto-precharge, etc) Providing a data request signal ( ddr_int_wrdata_req ) to the DDR driver logic, some number of cycles after a corresponding write transaction request is received. The customer logic should be capable of reacting to ddr_int_wrdata_req correctly. This ensures that CAS latency, additive latency and burst length are all managed internally to the Speedster22i DDR controller. It also provides early data request signal ( ddr_int_wrdata_req_early ) which can be used if more time is required to generate data. Provides data request signal ( ddr_int_wrdata_req_align ) and early data request signal ( ddr_int_wrdata_req_early_align ) because the wide bus interface or 2X Clock mode should always be selected for 1866Mpbs. Providing a read data valid signal ( ddr_int_rddata_valid ) to accompany read data provided in response to a read request. This ensures that the round-trip latency to (and through) the memory is managed internally to the Speedster22i DDR controller. It also provides early data valid signal ( ddr_int_rddata_valid_early ) which can be used to latch read data. Provides data request signal ( ddr_int_rddata_valid_align ) and early data valid signal ( ddr_int_rddata_valid_early_align ) if the wide bus interface or 2X Clock mode is selected for 1866 Mbps. Provide signal ( ddr_int_busy ) to DDR Driver logic to indicate that the DDR3 Controller is busy and is not accepting new requests. 8 UG031, Nov 18, 2014

9 Interfaces Internal (core) Interface The internal interface to the PHY/DDR controller, which is implemented in the core fabric, contains the following interface signals as listed below in table 1. Signal Name Bus Width Direction clk 1 Input ddr_int_clk_div2 1 Output ddr_int_clk_div4 1 Output Description Driven by user. Clock signal. This is the reference clock coming in from the board. In 2X Clock mode the clock from PHY will be at half the controller clock which should be used to send and receive data from core to controller When the wide bus interface is enabled, the clock from PHY will be at one quarter the controller clock which should be used to send and receive data from core to controller reset_ddr_phy_n 1 Input Driven by user. Reset to PHY. Asserted active low. reset_ddr_ctrlr_n 1 Input Driven by user. Reset to DDR controller. Asserted active low. ddr_int_busy 1 Output ddr_int_busy_align 1 Output Indicates that the DDR3 Controller is busy and is not accepting new requests. When the wide bus interface is enabled and in 2X clock mode, indicates that the DDR3 Controller is busy and is not accepting new requests. ddr_int_addr 34 Input Address bus containing row, column, and bank information. ddr_int_burst_size 8 Input ddr_int_wr_request 1 Input Write request. ddr_int_wrdata_req 9 Output ddr_int_wrdata_req_early 9 Output ddr_int_wrdata_req_align 9 Output ddr_int_wrdata_req_early_align 9 Output ddr_int_wrdata ddr_int_wrdata_mask [SIZE*4-1:0] [SIZE/2-1:0] Input Input Indicates burst size of given read/write request. Valid ranges of this value are: 8 d4 8 d252 (multiples of 4) for DDR3 Request by DDR Controller for data to be written to the DDR Memory. This is asserted some number of clock cycles after a write request, and is burst length number of clock cycles in length per write request. Early request by DDR controller for data to be written to the DDR memory. Signal will be asserted earlier then ddr_int_wrdata_req which can be used. When the wide bus interface is enabled and in 2X Clock mode, request by DDR Controller for data to be written to the DDR Memory. This signal bus essentially performs a single alignment function but is provided as a multi-bit signal for timing purposes. When the wide bus interface is enabled and in 2X Clock mode, early request by DDR Controller for data to be written to the DDR Memory. Signal will be asserted earlier then ddr_int_wrdata_req_align which can be used. This signal bus essentially performs a single alignment function but is provided as a multi-bit signal for timing purposes. Data to be written to the DDR Memory. This data is provided two cycles after ddr_int_wrdata_req is asserted. SIZE: 72, 64, 32, 16, 8. When the wide bus interface is enabled, the SIZE parameters and consequently the bus width, are doubled. Data mask corresponding to ddr_int_wrdata. When any data mask bit is asserted, the data contained in the corresponding ddr_int_wrdata byte will not be written to Memory. SIZE: 72, 64, 32, 16, 8. When the wide bus interface is UG031, Nov 18,

10 ddr_int_rd_request 1 Input Read request. ddr_int_rddata [SIZE*4-1:0] Output enabled, the SIZE parameters and consequently the bus width, are doubled. Data read back from the DDR Memory in response to a read request. SIZE: 72, 64, 32, 16, 8. When the wide bus interface is enabled, the SIZE parameters and consequently the bus width, are doubled. ddr_int_rddata_valid 9 Output Valid signal corresponding to read data ( ddr_int_rddata ). ddr_int_rddata_valid_early 9 Output ddr_int_rddata_valid_align 9 Output ddr_int_rddata_valid_early_align 9 Output Early valid signal corresponding to read data ( ddr_int_rddata ) When the wide bus interface is enabled and in 2X clock mode, valid signal corresponding to read data ( ddr_int_rddata ) When the wide bus interface is enabled and in 2X clock mode, early valid signal corresponding to read data ( ddr_int_rddata ) ddr_int_cmd_auto_pch 1 Input Core can send a Auto pre charge request to controller ddr_int_cmd_power_down 2 Input ddr_int_cmd_ref_req 1 Input Core can send a power down request to controller. Each signal [1:0] controls each SDRAM on its chip select. User-initiated refresh control. Core can send a refresh request to controller (manual control). ddr_int_ref_ack 1 Output Refresh acknowledgement from controller to core ddr_int_cmd_self_referesh 2 Input ddr_int_cmd_zq_cal_req 2 Input ddr_int_zq_cal_ack 1 Output ddr_int_wrdata_valid 1 Output ddr_int_phy_ci_slave_adj 8 input ddr_int_phy_ci_slave_dqsn_en 9 Input *based on data width ddr_int_phy_co_wr_lvl_out 9 Output *based on data width Self refresh control. Causes the DDR3 SDRAM Controller Core to put the SDRAM into self refresh mode at the next refresh event. Each signal [1:0] controls each SDRAM on its chip select. User initialized ZQ calibration. User can initiate ZQ calibration at next available opportunity. Each signal [1:0] controls each SDRAM on its chip select. ZQ calibrations acknowledge. Asserted for one clock when ZQ calibration command is issued to memory devices. Frames the active data being written to SDRAM. Mimics ddr_int_wrdata_req except it is delayed by one clock. ddr_int_init_ack 1 Output Asserts for one clock to acknowledge init_refresh, init_precharge_all, init_mr, init_zq_cal, and init_wlvl_mrx_req. ** ddr_int_init_wlvl_done 1 Output Indicates completion of write leveling status_wlvl_tap_value 9 Output Delay line value determined by write leveling calibration inside DDR3 controller. The value on this port corresponds to the DQS lane selected by ** parameter ** wlvl_active 1 Output Indicates that controller-driven automatic write leveling is in progress. Table-1: Internal interface signals 10 UG031, Nov 18, 2014

11 External (off-chip) Interface The External DDR interface signals (off-chip) from the DDR PHY to the external memory devices are shown in Table 2 below. Signal Name Bus Width Direction Description sd_clk_p 4 Output SDRAM clock signal sd_clk_n 4 Output SDRAM clock signal sd_cke 4 Output SDRAM clock enable control signal sd_odt 4 Output SDRAM on die termination control signal sd_ras_n 1 Output SDRAM RAS control signal sd_cas_n 1 Output SDRAM CAS control signal sd_we_n 1 Output SDRAM write enable control signal sd_reset_n 1 Output SDRAM reset signal sd_a 16 Output SDRAM address bus sd_ba 3 Output SDRAM bank select sd_cs_n 4 Output SDRAM chip select sd_dm 9 Output SDRAM data mask sd_dummy 9 Output Internal use only. Leave unconnected sd_dq 72 Inout SDRAM data bus sd_dqsn 9 Inout SDRAM DQS bus, which is used to clock DQ bus sd_dqsp 9 Inout SDRAM DQS bus, which is used to clock DQ bus Parameters Table-2: PHY/DDR controller to memory interface signals Parameters that can be set for both the DDR controller and PHY are shown in Table 3 below. Parameter Default Value (hex) Valid Values Description DSIZE 72 Multiples of 8 from 8-72 Local side data width NUM_CLK_OUTS 3 1 to 4 Number of clock outputs CONTROLLER_REFRESH_EN 1'h1 0-1 Enable controller initiated refreshes. 0: Embedded DDR Controller automatically handles cyclic autorefreshing of memory. 1: User manually overrides autorefresh control of memory. DELAY_ACTIVATE_TO_PRECHARGE 5'h clock cycles Minimum ACTIVE to PRECHARGE DELAY_ACTIVATE_TO_RW 4'h clock cycles Minimum time between ACTIVATE and READ/WRITE DELAY_ACTIVATE_TO_ACTIVATE_DIFF_BANK 3'h4 2-6 clock cycles Minimum time between ACTIVATE to ACTIVATE in different banks DELAY_PRECHARGE_TO_ACTIVATE 4'h clock cycles Minimum PRECHARGE to ACTIVATE. DELAY_ACTIVATE_TO_ACTIVATE_SAME_BANK 6'h clock cycles Minimum ACTIVATE to ACTIVATE/AUTO-REFRESH in same bank BANK_ACTIVATE_PERIOD 6'h clock cycles Four bank activate period DELAY_AUTO_REFRESH_TO_ACTIVATE_SAME_BANK 9'h03B clock cylces Minimum AUTO-REFRESH to ACTIVATE/AUTO-REFRESH in same UG031, Nov 18,

12 DELAY_READ_TO_PRECHARGE 3'h4 4-6 clock cycles DELAY_WRITE_TO_PRECHARGE 4'h clock cycles bank Minimum Read to precharge (DDR3 only) Minimum time from write to PRECHARGE DELAY_WRITE_TO_READ 3'h4 2-6 clock cycles Minimum time from write to read. DELAY_READ_TO_WRITE 3'h3 1-7 clock cycles Read to write delay (valid values: 1 DELAY_WRITE_TO_WRITE_DIFF_BANK 3'h2 0-7 clock cycles DELAY_WRITE_TO_READ_DIFF_BANK 3'h1 0-7 clock cycles DELAY_READ_TO_READ_DIFF_BANK 3'h2 1-7 clock cycles Minimum delay from write to write (different ranks) Minimum delay from write to read (different ranks) Minimum delay from read to read (different ranks) DELAY_ADDITIVE_DDR3_LATENCY 3'h0 0-5 clock cycles Additive latency value (DDR2) CAS_LATENCY 4'h Cas latency CAS_WRITE LATENCY 4'h6 5-8 Cas Write latency DELAY_LOADMODE_TO_ACTIVATE 3 h6 clock cycles DELAY_LOADMODE_TO_ANY 4 he clock cycles DELAY_SELF_REFRESH_TO_NON_DLL_CMD 9'h040 clock cycles DELAY_SELF_REFRESH_TO_NON_READ_CMD 8'h87 clock cycles DELAY_RESET_HIGH_TO_CLK_HIGH 9'h040 clock cycles REFRESH_PERIOD 16'h07D refresh time interval / tck Minimum LOADMODE to ACTIVATE command Minimum LOADMODE to ANY command Minimum time from self refresh to non- DLL command Minimum time from self refresh to nonread command Minimum delay from memory reset high to cke hig Refresh period. This is the number of clock cycles between refresh commands. DELAY_STARTUP 19'h000c clock cycles Initialization delay after reset NUM_COLUMN_BITS 3'h5 5, 6, 7 NUM_ROW_BITS 3'h3 2, 3, 4, 5 NUM_BANK_BITS 1'h1 0, 1 REGISTERED_DIMM 1'h0 0,1 ODT_READ_CS0 ODT_READ_CS1 ODT_READ_CS2 ODT_READ_CS3 8'h00 8'h00 8'h00 8'h00 ODT_READ_CS4 8 h00 ODT_READ_CS5 8 h00 ODT_READ_CS6 8 h00 ODT_READ_CS7 8 h00 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT Number of column bits 5: 10 column bits 6: 11 column bits 7: 12 column bits Number of row bits 2: 13 row bits 3: 14 row bits 4: 15 row bits 5: 16 row bits Number of bank bits 0: 2 bank bits 1: 3 bank bits Registered dimm support 0: UDimm 1: RDimm On die termination selection for reads on cs0 On die termination selection for reads on cs1 On die termination selection for reads on cs2 On die termination selection for reads on cs3 On die termination selection for reads on cs4 On die termination selection for reads on cs5 On die termination selection for reads on cs6 On die termination selection for reads on cs7 12 UG031, Nov 18, 2014

13 ODT_WRITE_CS0 ODT_WRITE_CS1 ODT_WRITE_CS2 ODT_WRITE_CS3 8'h01 8'h02 8'h04 8'h08 ODT_WRITE_CS4 8 h10 ODT_WRITE_CS5 8 h20 ODT_WRITE_CS6 8 h40 ODT_WRITE_CS7 8 h80 is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 Each bank contains 8 bits, one per DQ. ODT is enabled when set to 1 READ_EN_DELAY_LANE 3 h5 0-5 BYPASS_TXRX_SD 0 0 or 1 If no soft write leveling or read leveling is used, then use these parameters: On die termination selection for writes on cs0 On die termination selection for writes on cs1 On die termination selection for writes on cs2 On die termination selection for writes on cs3 On die termination selection for writes on cs4 On die termination selection for writes on cs5 On die termination selection for writes on cs6 On die termination selection for writes on cs7 Adjusts the delay of the read data out of the PHY 0 1X clock mode. Core run at same speed as controller 1 2X clock mode. Core run at half the speed of controller. BYTE_LANE*_DLL_ADJ_DQ (2) 6 h4 DQ Slave adjust for Byte Lane * BYTE_LANE*_DLL_ADJ_DQS (2) 6 h16 DQS Slave adjust for Byte Lane * BYTE_LANE*_DLL_ADJ_DP (2) 6 h6 DP Slave adjust for Byte Lane * BYTE_LANE*_WR_LVL_DQ_SELECT (2) 4 b0000 BYTE_LANE_DLL_MADJ 8 h2a Master adjust Which DQ bit is used for write leveling * BYTE_LANE_DLL_DQSX9_CLK_ADJ 8 h3f Slave adjust for DQSX9 BYTE_LANE_CAC_DLL_ADJ_DQSN 6 h17 Slave adjust for address bytes lanes Table-3: Parameter values of the DDR controller Notes on Table 3: 1. These parameters are available for all byte lanes. For example if we have 64 DQ bits that will be 8 byte lane. So these parameters are available for all 8 lanes, replace the * with each byte number. UG031, Nov 18,

14 Address Mapping The Speedster22i DDR controller contains a specific address bus mapping which is broken down as follows: Column [colbits-1:0] Bank [bankbits-1:0] Row [rowbits-1:0] Chip Select (encoded) [3:0] The exact bit positions of the mapping will vary depending on the values of the configuration parameters denoted colbits, rowbits, and bankbits. ddr_int_addr[33:0] msb leading 0s (if req d) CS[3:0] rowbits bankbits colbits lsb Chip Select Row Bank Figure 3: Address mapping of ddr_int_addr Signal The configuration parameters relating to memory size (in terms of numbers of columns, banks and rows) are defined by the user in the DDR Control Logic instantiation. Valid ranges of these values are give in the Parameters section above. Speedster22i devices supports four ranks per DDR control block (two dual-rank DIMM or one quad-rank DIMM per controller). This corresponds to four chip selects: CS [3:0] = {CS3, CS2, CS1, CS0}. In configurations where the number of column bits, row bits, bank bits and 4 chip select bits do not add up to the total 34 bit length of ddr_int_addr, the upper most bits of ddr_int_addr [33:0] are ignored, and should be filled with zeros. 14 UG031, Nov 18, 2014

15 Write Interface Details The Speedster22i DDR controller contains a simple write interface to the DDR Driver logic.. This uses a 2X clock mode - the DDR driving logic (user RTL implemented in the FPGA fabric) runs at half the frequency of DDR controller. The DDR controller/phy outputs a Clock ( clk_div2 ), which the user must use to drive write data and latch read data. For example, a 1866Mbps data rate required the DDR controller and PHY to operate at 1066MHz, but the 2X mode allows the internal interface to the fabric to operate at 533MHz. If 2X mode is not used, the highest off chip data rate available is 1066Mbps. In 2X mode, the core interface signals remain the same except for ( ddr_int_busy, ddr_int_wrdata_req, ddr_int_wrdata_req_early, ddr_int_rddata_valid, ddr_int_rddata_valid_early ). Instead of the above signals, users must interface with the following signals ( ddr_int_busy_align, ddr_int_wrdata_req_align, ddr_int_wrdata_req_early_align, ddr_int_rddata_valid_align, ddr_int_rddata_valid_early_align ). The DDR driver logic (user RTL) provides a write request ( ddr_int_wr_request ) along with a corresponding address ( ddr_int_addr ) and burst length ( ddr_int_burst_size ). This logic then needs to provide data ( ddr_int_wrdata ) 2 clock cycles after a data request ( ddr_int_wrdata_req_early_align ) is received from the Speedster22i DDR Controller. The logic can also use ( ddr_int_wrdata_req_align ). The ddr_int_wrdata [287:0] signal represents the data to be written to the memory over four sequential DDR clock edges (72 bits at a time). The data contained in ddr_int_wrdata [71:0] is written to the specified column address, and that contained in ddr_int_wrdata [143:72] is written to the specified column address + 1. Data from ddr_int_wrdata [215:144] will be written to specified column address+2 and data from ddr_int_wrdata [287:216] will be written to specified column address+3. The write requests are subject to the controller being busy ( ddr_int_busy_align ). The DDR controller supports burst length option BL8. Each burst will contain 2 local side transfers, which is equivalent to 8 transfers to the DDR memory. DDR Interface Logic (User RTL) ddr_int_wr_request ddr_int_addr[33:0] ddr_int_burst_size[7:0] ddr_int_busy_align ddr_int_wrdata_req_early_align ddr_int_wrdata_req_align ddr_int_wrdata[287:0] ddr_int_writedata_mask[17:0] Clk_div2 Speedster22i DDR Controller Figure 4: Write Interface 2X Clock Mode UG031, Nov 18,

16 The following timing diagram illustrates a single write command of burst length 4. The signals shown in the following diagrams are ports at the ( ddr3_xsize 1 _LOCATION 2. Where 1: SIZE = 72, 64, 32, 16, 8 and 2: LOCATION=EN, EC, ES, WN, WC, WS). Present data 3-cycles after ddr_int_wrdata_req_early is asserted clk_div2 ddr_int_wr_request ddr_int_addr[33:0] ddr_int_busy ddr_int_wrdata_req_early ddr_int_wrdata [143:0] d0 d1 d0 d1 Timing relationship between ddr_int_wr_request assertion and ddr_int_wrdata_req_early assertion based on AL/CL configuration settings, refresh status and status on bank/ row being accessed Figure 5: Internal Interface Write Protocol Timing Diagram This 2-cycles delay between the ddr_int_wrdata_req_early_align and ddr_int_wrdata [287:0] is optional. This is implemented using a parameter addrcmd_delay value setting to 4 h9. This extra cycle provides an advantage to the user to latch the data to be written to the PHY. This implementation is optional to the user. If the user wants to use 1-cycle delay between ddr_int_wrdata_req_early_align and ddr_int_wrdata [287:0], in this case let keep the default value of the parameter addrcmd_delay. The default values for this parameter addrcmd_delay is 4 h8. The user needs to be very careful about their implementation while using Achronix DDR-controller implementing 1-cycle delay implementation for latching the write-data to be written to PHY. For the 2-cycle delay, the user has enough time to latch the data. The diagram above shows the signals between the FPGA fabric core and DDR controller. ( ddr_int_wrdata_req_early_align ) signal is 3 cycles earlier then ( ddr_int_wrdata_req ) signal. The user can use ddr_int_wrdata_req_early_align signal to be ready to write the data and at the assertion of ddr_int_wrdata_req signal to drive user data on ddr_int_wrdata. 16 UG031, Nov 18, 2014

17 Valid Write commands Present data 1 cycle after ddr_int_wrdata_req_early_align is asserted Clk_div2 ddr_int_wr_ request ddr_int_addr[33:0] a0 ddr_int_busy_ align ddr_int_ wrdata_req_early_align ddr_int_ wrdata_req ddr_int_ wrdata[287:0] d0 d1 ddr_int_ burst_size[7:0] 4 Timing relationship between ddr_int_wr_request assertion and ddr_int_wrdata_req assertion between AL/CWL configurations settings/refresh status, and status of bank/row being accessed Figure 6: Internal Interface Write Protocol Timing Diagram with default value addrcmd_delay to 8 This logic then needs to provide data ( ddr_int_wrdata ) 1 clock cycles after a data request ( ddr_int_wrdata_req_early_align ) is received from the Speedster22i DDR Controller. The logic can also use ( ddr_int_wrdata_req_align ). The ddr_int_wrdata [287:0] signal represents the data to be written to the memory over four sequential DDR clock edges (72 bits at a time). The data contained in ddr_int_wrdata [71:0] is written to the specified column address, and that contained in ddr_int_wrdata [143:72] is written to the specified column address + 1. Data from ddr_int_wrdata [215:144] will be written to specified column address+2 and data from ddr_int_wrdata [287:216] will be written to specified column address+3. The write requests are subject to the controller being busy ( ddr_int_busy_align ). The DDR controller supports burst length option BL8. Each burst will contain 2 local side transfers, which is equivalent to 8 transfers to the DDR memory. The diagram above shows the signals between the FPGA fabric core and DDR controller. ( ddr_int_wrdata_req_early_align ) signal is 3 cycles earlier then ( ddr_int_wrdata_req ) signal. The user can use ddr_int_wrdata_req_early_align signal to be ready to write the data and at the assertion of ddr_int_wrdata_req signal to drive user data on ddr_int_wrdata. UG031, Nov 18,

18 clock Command ACT WR sd_ras_n sd_cas_n sd_we_n sd_a ROW COL sd_ba BANK BANK sd_cs_n CHIP CHIP sd_dq d0r d0f d1r d1f d2r d2f d3r d3f sd_dm sd_dqs Notes: For case shown, DELAY_ACTIVATE_TO_RW = 5, CAS WRITE LATENCY =6, DELAY_ADDITIVE_DDR3_LATENCY=0 Figure 7: Write Protocol Timing Diagram (SDRAM Interface) To request a write data transaction, the DDR driver logic (user RTL) must assert ddr_int_wr_request along with a corresponding address ( ddr_int_addr [33:0] ) and burst length ( ddr_int_burst_size ). A valid write request (ie. one which is successfully posted to the Speedster22i DDR Controller and propagated to the DDR Memory) is one in which ALL of the following conditions are met: ddr_int_wr_request is asserted (active high) ddr_int_addr [33:0] is driven ddr_int_burst_size [7:0] is driven to a valid value for the given protocol o 8 d4 8 d252 for DDR3 (multiple of 4) ddr_int_busy_align is not asserted (active high) Latency of the DDR-controller provided data (ddr_int_wrdata) to the external memory is 4-cycle. 18 UG031, Nov 18, 2014

19 Back-to-Back Write Protocol 2X Clock Mode The following timing diagram (Figure 8) illustrates the same three cascaded, back-to-back write commands. Each valid write request (and corresponding data) is highlighted in a different color. For back-to-back write there are 5 clock-cycles gap required between the write requests. This is done with respect to 2X clock mode. Valid Write commands after 5 cycles clk_div2 ddr_int_wr_request ddr_int_addr[33:0] a0 a1 a2 ddr_int_busy ddr_int_wrdata_req ddr_int_wrdata [287:0] ddr_int_burst_size [7:0] 4 Figure 8: Write Protocol Timing Diagram (Write requests with valid writes highlighted) UG031, Nov 18,

20 Present data 3-cycles after ddr_int_wrdata_req_early is asserted clk_div2 ddr_int_wr_request ddr_int_addr[33:0] ddr_int_busy ddr_int_wrdata_req_early ddr_int_wrdata[143:0] d0 d1 d0 d1 Timing relationship between ddr_int_wr_request assertion and ddr_int_wrdata_req_early assertion based on AL/CL configuration settings, refresh status and status on bank/row being accessed Figure 9: Write Protocol Timing Diagram (ddr_int_wrdata_req corresponding to respective writes highlighted) If a write request is not valid (ie. ddr_int_busy and ddr_int_wr_request are asserted simultaneously), ddr_int_wr_request, ddr_int_addr [33:0], and ddr_int_burst_size [7:0] signal values must be latched until ddr_int_busy is de-asserted and a valid write request ( ddr_int_wr_request )can be posted as shown in Figure 8. There should be 5-cycles delay between the back-to-back ddr_int_wr_request signal as shown in Figure 8. Corresponding ddr_int_wrdata_req and ddr_int_wrdata timing is shown in Figure 9. The ddr_int_wr_request signal may remain asserted for any number (with 5-cycles apart always) to generate any number of follow-on writes transactions (in cascaded bursts). The data request ( ddr_int_wrdata_req ) signal will be asserted two cycles prior to when the DDR driver logic (user RTL) must present data at the ddr_int_wrdata bus and mask information at the ddr_int_writedata_mask bus. The Speedster22i DDR Controller will ensure that the ddr_int_wrdata_req_early signal is asserted for the correct number of cycles (based on the ddr_int_burst_size value specified for the corresponding write request) as well as at the correct time (in accordance with DDR latency requirements based on user-specified values of AL and CL parameters in the case of DDR/DDR2, or AL and CWL parameters in the case of DDR3). The burst length ( ddr_int_burst_size ) corresponding to a single given write request must be set to a valid value based on the given DDR protocol. For DDR3 has a range of 8 d4 to 8 d252. Note that the ddr_int_burst_size value translates directly to the number of cycles for which ddr_int_wrdata_req is asserted. While bank and row addresses are derived directly from the address provided by the user (ie. DDR driver logic) for a given write request, the column address is incremented automatically within the Speedster22i DDR Controller for a given burst, starting with the 20 UG031, Nov 18, 2014

21 column address provided by the user for the given write request. For DDR3, since ddr_int_burst_size is set as a multiple of 4, the user should always provide a column address with a modulo-8 value. The ddr_int_wrdata [287:0] signal represents the data to be written to the memory over two sequential DDR clock edges (144 bits at a time). The data contained in ddr_int_wrdata [71:0] is written to the specified column address, that contained in ddr_int_wrdata [143:72] is written to the specified column address + 1, that contained in ddr_int_wrdata [215:144] is written to the specified column address + 2, and that contained in ddr_int_wrdata [287:216] is written to the specified column address + 3. The ddr_int_writedata_mask [17:0] represents the data mask. Each bit within this bus corresponds to 8bits of the ddr_int_wrdata [287:0] signal bus. Asserting a given bit within the ddr_int_writedata_mask bus ensures that the corresponding 8 bits of the ddr_int_wrdata bus do NOT get written to memory, overwriting its previous contents. Write Protocol with Wide Bus Interface Enabled The DDR macro for Speedster22i Devices also provides users the option to Enable a Wide Bus Interface through a checkbox control. In this mode, the DDR driving logic (user RTL implemented in the FPGA fabric) runs at one quarter the frequency of the DDR controller. This enabled FPGA fabric core timing to be met more easily, especially for high off-chip data rates. The DDR controller/phy outputs a clock ( clk_div4 ), which the user must use to drive write data and latch read data. With a 1866Mbps data rate at the DDR controller, corresponding to the PHY operating at 1066MHz, enabling the wide bus interface ensures that the interface in the fabric can operate at 266MHz. The wide bus interface can be enabled for any and all modes and data rates. When the wide bus interface is enabled, the core interface signals remain almost exactly the same as those required in the 2X clock mode. The only differences are that a new clock ( clk_div4 ) need be used, and the data and mask bus widths effectively double. The DDR driver logic (user RTL) provides a write request ( ddr_int_wr_request ) along with a corresponding address ( ddr_int_addr ) and burst length ( ddr_int_burst_size ). This logic then needs to provide data ( ddr_int_wrdata ) 1 clock cycle after a data request ( ddr_int_wrdata_req_early_align ) is received from the Speedster22i DDR Controller. The logic can also use ( ddr_int_wrdata_req_align ). The ddr_int_wrdata [575:0] signal represents the data to be written to the memory over eight sequential DDR clock edges (72 bits at a time). The data contained in ddr_int_wrdata [71:0] is written to the specified column address, and that contained in ddr_int_wrdata [143:72] is written to the specified column address+1. Data from ddr_int_wrdata [215:144] will be written to specified column address+2 and data from ddr_int_wrdata [287:216] will be written to specified column address+3. Similarly, data contained in ddr_int_wrdata [359:288] is written to the specified column address+4, and that contained in ddr_int_wrdata [431:360] is written to the specified column address+5. Data from ddr_int_wrdata [503:432] will be written to specified column address+6 and data from ddr_int_wrdata [575:504] will be written to specified column address+7. The write requests are subject to the controller being busy ( ddr_int_busy_align ). The DDR controller supports burst length option BL8. Each burst will contain a single local side transfers, which is equivalent to 8 transfers to the DDR memory. UG031, Nov 18,

22 DDR Interface Logic (User RTL) ddr_int_wr_request ddr_int_addr[33:0] ddr_int_burst_size[7:0] ddr_int_busy_align ddr_int_wrdata_req_early_align ddr_int_wrdata_req_align ddr_int_wrdata[575:0] ddr_int_writedata_mask[35:0] clk_div4 Speedster22i DDR Controller Figure 10: Write Interface with Wide Bus Interface Enabled The following timing diagram illustrates a single write command of burst length 4. The signals shown in the following diagrams are ports at the ( ddr3_xsize 1 _LOCATION 2. Where 1: SIZE = 72, 64, 32, 16, 8 and 2: LOCATION=EN, EC, ES, WN, WC, WS). Valid Write commands Present data 1 cycle after ddr_int_wrdata_req_early_align is asserted Clk_div4 ddr_int_wr_ request ddr_int_addr[33:0] a0 ddr_int_busy_ align ddr_int_ wrdata_req_early_align ddr_int_ wrdata_req ddr_int_ wrdata[575:0] d0 ddr_int_ burst_size[7:0] 4 Timing relationship between ddr_int_wr_request assertion and ddr_int_wrdata_req assertion between AL/CWL configurations settings/refresh status, and status of bank/row being accessed Figure 11: Internal Interface Write Protocol Timing Diagram with Wide Bus Interface Enabled 22 UG031, Nov 18, 2014

23 As shown above, the wrdata_req signal needs to be asserted for one clock cycle, and in the subsequent clock cycle the write data [575:0] is provided. The timing relationships with the SDRAM interface are essentially equivalent to the 2X Clock Mode interface. A valid write request (ie. one which is successfully posted to the Speedster22i DDR Controller and propagated to the DDR Memory) is one in which ALL of the following conditions are met: ddr_int_wr_request is asserted (active high) ddr_int_addr [33:0] is driven ddr_int_burst_size [7:0] is driven to a valid value for the given protocol o 8 d4 8 d252 for DDR3 (multiple of 4) ddr_int_busy_align is not asserted (active high) Latency of the DDR-controller provided data (ddr_int_wrdata) to the external memory is 8-cycle. Read Interface Details The Speedster22i DDR Controller contains a very simple read interface to the DDR Driver logic. 2X Clock mode must always be used. When using 2X clock mode DDR drive (user) logic in core runs at half the frequency of DDR controller. The DDR controller/phy outputs a Clock ( clk_div2 ), which the user must use to drive write data and latch read data. The core interface signals remain the same except for ( ddr_int_busy, ddr_int_wrdata_req, ddr_int_wrdata_req_early, ddr_int_rddata_valid, ddr_int_rddata_valid_early ). Instead, the DDR driver (user) logic needs to use ( ddr_int_busy_align, ddr_int_wrdata_req_align, ddr_int_wrdata_req_early_align, ddr_int_rddata_valid_align, ddr_int_rddata_valid_early_align ). The DDR driver (user) logic must provide a read request ( ddr_int_rd_request ) along with a corresponding address ( ddr_int_addr ) and burst length ( ddr_int_burst_size ). Speedster22i DDR controller provides valid signal for data to be read. This valid signal is ddr_int_rddata_valid_align. After assertion of ddr_int_rddata_valid_align, 2 cycles later, the DDR driver logic receives read data ( ddr_int_rddata [287:0] ) from the Speedster22i DDR controller. The ddr_int_rddata [287:0] signal represents the data read from the memory over four sequential DDR clock edges (72 bits at a time). The data contained in ddr_int_rddata [71:0] is read from the specified column address, and that contained in ddr_int_rddata [143:72] is read from the specified column address + 1. Data from ddr_int_rddata [215:144] is read from specified column address+2 and data from ddr_int_rddata [287:216] is read from specified column address+3. The read requests are subject to the controller being busy ( ddr_int_busy_align ). The DDR controller supports burst length option BL8. Each burst will contain 2 local side transfers, which is equivalent to 8 transfers to the DDR memory. UG031, Nov 18,

24 DDR Driver Logic (in Core Fabric) ddr_int_rd_request ddr_int_addr[33:0] ddr_int_burst_size[7:0] ddr_int_busy_align ddr_int_rddata_valid_early_align ddr_int_rddata_valid_align ddr_int_rddata[287:0] Speedster22i DDR Controller clk_div2 Figure 12: Read Interface 2X Clock Mode The following timing diagram illustrates a single read command of burst length 4. The signals shown in the following diagrams are ports at the ( ddr3_xsize 1 _LOCATION 2. Where 1: SIZE = 72, 64, 32, 16, 8 and 2: LOCATION=EN, EC, ES, WN, WC, WS). Valid Read Command clk_div2 ddr_int_rd_ request ddr_int_addr[33:0] a 0 ddr_int_busy_align ddr_int_ rddata_valid_align ddr_int_ rddata[287:0] d0 d1 ddr_int_burst_size[7:0] 4 Timing relationship between ddr_int_rd_request and ddr_int_rddata_valid assertion based on AL/CL configuration settings, refresh status and status of bank/row begins assessed Figure 13: Internal Interface Read Protocol Timing Diagram 24 UG031, Nov 18, 2014

25 The Corresponding external (off-chip) interface timing signals are shown in the Figure 14 below. clock Command ACT RD sd_ras_n sd_cas_n sd_we_n sd_a ROW COL sd_ba BANK BANK sd_cs_n CHIP CHIP sd_dq d0r d0f d1r d1f d2r d2f d3r d3f sd_dm sd_dqs Notes: For case shown, DELAY_ACTIVATE_TO_RW = 5, CAS LATENCY =7, DELAY_ADDITIVE_DDR3_LATENCY=0 Figure 14: External Interface Read Protocol Timing Diagram To request a read data transaction, the DDR driver (user) logic must assert ddr_int_rd_request along with a corresponding address ( ddr_int_addr [33:0] ) and burst length ( ddr_int_burst_size ). A valid read request (ie. one which is successfully posted to the Speedster22i DDR controller and propagated to the DDR Memory) is one in which ALL of the following conditions are met: ddr_int_rd_request is asserted (active high) ddr_int_addr [33:0] is driven ddr_int_burst_size [7:0] is driven to a valid value for the given protocol o 8 d4 8 d252 for DDR3 (multiple of 4) ddr_int_busy_align is not asserted (active high) Back-to-Back Read Protocol 2X Clock Mode The 1 st word is provided on ( ddr_int_rddata [143:0] ) 4 cycles after ( ddr_int_rddata_valid ) is received from the Speedster22i DDR controller. The following timing diagrams illustrate two cascaded, back-to-back read commands. Each valid read request (and corresponding data) is highlighted in a different color. The testing is done with respect to 2X-clock mode. UG031, Nov 18,

26 Valid Write commands after 5 cycles clock ddr_int_rd_request ddr_int_addr[33:0] a0 a1 a2 ddr_int_busy ddr_int_rddata_valid ddr_int_rddata [287:0] ddr_int_burst_size [7:0] 4 Figure 15: Read Protocol Timing Diagram (with valid read request highlighted) clock ddr_int_rd_req ddr_int_addr[33:0] ddr_int_busy ddr_int_rddata_valid ddr_int_rddata [287:0] d0 d1 d0 d1 d0 d1 Timing relationship between ddr_int_rd_request assertion and ddr_int_wrdata_req_early assertion based on AL/CL configuration settings refresh status and status of bank/row being assessed. Figure 16: Read Protocol Timing Diagram (with ddr_int_rddata_valid highlighted) If a read request is not valid (ie. ddr_int_busy and ddr_int_rd_request are asserted simultaneously), ddr_int_rd_request, ddr_int_addr [33:0], and ddr_int_burst_size [7:0] signal values must be latched until such time as ddr_int_busy is de-asserted and a valid read request ( ddr_int_rd_request )can be posted as shown in Figure 15. There should be 5- clock cycle gap required for the back-to-back read request ( ddr_int_rd_request ). The ddr_int_rd_request signal may remain asserted for any number (with 5-cycles apart always) of clock periods to generate any number of follow-on read transactions (in cascaded bursts). The read data corresponding to a given read request is returned a deterministic number of clock cycles after the read request. This deterministic amount of time represents the round- 26 UG031, Nov 18, 2014

27 trip delay of accessing as well as actually reading from the memory address. The read data is accompanied by a valid signal, denoted ddr_int_rddata_valid. The Speedster22i DDR Controller will ensure that the ddr_int_rddata_valid signal is asserted for the correct number of cycles (based on the ddr_int_burst_size value specified for the corresponding read request) as well as at the correct time (in accordance with DDR latency requirements based on user-specified values of AL and CL parameters and the total round-trip latency of the given memory access). The burst length ( ddr_int_burst_size ) corresponding to a single given read request must be set to a valid value based on the given DDR protocol. For DDR3 has a range of 8 d4 to 8 d252. Note that the ddr_int_burst_size value translates directly to the number of cycles for which ddr_int_rddata_valid is asserted. As with writes, while bank and row addresses are derived directly from the address provided by the DDR driver (user) logic for a given read request, the column address is incremented automatically within the Speedster22i DDR Controller, starting with the column address provided by the user. For DDR3, since ddr_int_burst_size is set as a multiple of 4, the user should always provide a column address with a modulo-8 value. The ddr_int_rddata [287:0] signal represents the data read from the memory over two sequential DDR clock edges (144 bits at a time). The data contained in ddr_int_rddata [71:0] is read from the specified column address, that contained in ddr_int_rddata [143:72] is read from the specified column address + 1, that contained in ddr_int_rddata [215:144] is read from the specified column address + 2, and that contained ddr_int_rddata [287:216] is read from the specified column address + 3. Read Protocol with Wide Bus Interface Enabled As mentioned earlier, the wide bus interface may be enabled for all data rates but is particularly useful at high data rates to ease the timing closure effort for logic in the FPGA fabric. When the wide bus interface is enabled, the DDR driver (user) logic in core runs at one quarter the frequency of the DDR controller. The DDR controller/phy outputs a clock ( clk_div4 ), which the user must use to drive write data and latch read data. The core interface signals are essentially exactly the same as those in 2X clock mode, except that the data and mask bus widths are doubled and all signals are aligned to the new clock ( clk_div4 ) provided by the DDR controller/phy. The DDR driver (user) logic must provide a read request ( ddr_int_rd_request ) along with a corresponding address ( ddr_int_addr ) and burst length ( ddr_int_burst_size ). Speedster22i DDR controller provides valid signal for data to be read. This valid signal is ddr_int_rddata_valid_align. After assertion of ddr_int_rddata_valid_align, 2 cycles later, the DDR driver logic receives read data ( ddr_int_rddata [575:0] ) from the Speedster22i DDR controller. The ddr_int_rddata [575:0] signal represents the data read from the memory over eight sequential DDR clock edges (72 bits at a time). The data contained in ddr_int_rddata [71:0] is read from the specified column address, and that contained in ddr_int_rddata [143:72] is read from the specified column address+1. Data from ddr_int_rddata [215:144] is read from specified column address+2 and data from ddr_int_rddata [287:216] is read from specified column address+3. Similarly, data contained in ddr_int_rddata [359:288] is read from the specified column address+4, and that contained in ddr_int_rddata [431:360] is read from the specified column address+5. Data from ddr_int_rddata [503:432] is read from specified column address+6 and data from ddr_int_rddata [575:504] is read from specified column address+7. UG031, Nov 18,

28 The read requests are subject to the controller being busy ( ddr_int_busy_align ). The DDR controller supports burst length option BL8. Each burst will contain a single local side transfer, which is equivalent to 8 transfers from the DDR memory. ddr_int_rd_request ddr_int_addr[33:0] ddr_int_burst_size[7:0] DDR Driver Logic (in Core Fabric) ddr_int_busy_align ddr_int_rddata_valid_early_align ddr_int_rddata_valid_align ddr_int_rddata[575:0] clk_div4 Speedster22i DDR Controller Figure 17: Read Interface with Wide Bus Interface Enabled The following timing diagram illustrates a single read command of burst length 4. The signals shown in the following diagrams are ports at the ( ddr3_xsize 1 _LOCATION 2. Where 1: SIZE = 72, 64, 32, 16, 8 and 2: LOCATION=EN, EC, ES, WN, WC, WS). Valid Read Command clk_div4 ddr_int_rd_ request ddr_int_addr[33:0] a 0 ddr_int_busy_align ddr_int_ rddata_valid_align ddr_int_ rddata[575:0] d0 ddr_int_burst_size[7:0] 4 Timing relationship between ddr_int_rd_request and ddr_int_rddata_valid assertion based on AL/CL configuration settings, refresh status and status of bank/row begins assessed Figure 18: Internal Interface Read Protocol Timing Diagram with Wide Bus Interface Enabled 28 UG031, Nov 18, 2014

29 To request a read data transaction, the DDR driver (user) logic must assert ddr_int_rd_request along with a corresponding address ( ddr_int_addr [33:0] ) and burst length ( ddr_int_burst_size ). A valid read request (ie. one which is successfully posted to the Speedster22i DDR controller and propagated to the DDR Memory) is one in which ALL of the following conditions are met: ddr_int_rd_request is asserted (active high) ddr_int_addr [33:0] is driven ddr_int_burst_size [7:0] is driven to a valid value for the given protocol o 8 d4 8 d252 for DDR3 (multiple of 4) o ddr_int_busy_align is not asserted (active high) UG031, Nov 18,

30 Memory Interface Latency Depending on the data rate and the mode (width) used, the write and read latency for the DDR3 controller will vary. Table 4 below provides detailed clock cycle counts for write and read latencies for each of these cases. Mode Data-Rate Write-Latency (Clock Cycles) Read-Latency (Clock Cycles) 1X Up to 1066 Mbps 6 8 2X Up to 1600 Mbps 6 9 2X From 1601 Mbps to 1866 Mbps 7 10 Wide-Bus Up to 1600 Mbps 8 11 Wide-Bus From 1601 Mbps to 1866 Mbps 9 12 Table-4: Latency Information for different memory controller modes 30 UG031, Nov 18, 2014

31 Customization using ACE Figure 19 below shows the ACE interface which allows customization of the DDR macro. Users can configure the parameter settings according to their requirements and ACE will write out instantiatable RTL, as well as constraint files for use in an ACE project. Figure 19: DDR3 Customization using ACE After setting all parameter values, use the Generate button to write out the DDR macro for instantiating into your design. UG031, Nov 18,

Speedster22i Memory PHY User Guide UG043 April 26, 2014

Speedster22i Memory PHY User Guide UG043 April 26, 2014 Speedster22i Memory PHY User Guide UG043 April 26, 2014 UG043, April 26, 2014 1 Copyright Info Copyright 2013 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and Speedster

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

Speedster22i sbus Interface User Guide UG047, October 24, 2013

Speedster22i sbus Interface User Guide UG047, October 24, 2013 Speedster22i sbus Interface User Guide UG047, October 24, 2013 UG047, October 24, 2013 1 Copyright Info Copyright 2013 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. -

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. - August 29, 2014, Rev. - DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General The AXI 7 Series DDRx (Limited) DO-254 Certifiable Data Package is made up of the artifacts produced

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

2GB DDR3 SDRAM 72bit SO-DIMM

2GB DDR3 SDRAM 72bit SO-DIMM 2GB 72bit SO-DIMM Speed Max CAS Component Number of Part Number Bandwidth Density Organization Grade Frequency Latency Composition Rank 78.A2GCF.AF10C 10.6GB/sec 1333Mbps 666MHz CL9 2GB 256Mx72 256Mx8

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor

ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor ESDRAM/SDRAM Controller For 80 MHz Intel i960hd Processor AN-113 Enhanced Memory Systems Enhanced SDRAM (ESDRAM) is the memory of choice for high performance i960hx systems. The Enhanced Memory Systems

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

Xilinx Answer MIG 7 Series DDR3/DDR2 - Hardware Debug Guide

Xilinx Answer MIG 7 Series DDR3/DDR2 - Hardware Debug Guide Xilinx Answer 43879 MIG 7 Series DDR3/DDR2 - Hardware Debug Guide Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to note

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site:

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: www.micron.com 2GB, 4GB (x64, DR): 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered

More information

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB, 2GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits)

SC64G1A08. DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) SC64G1A08 DDR3-1600F(CL7) 240-Pin XMP(ver 2.0) U-DIMM 1GB (128M x 64-bits) General Description The ADATA s SC64G1A08 is a 128Mx64 bits 1GB(1024MB) DDR3-1600(CL7) SDRAM XMP (ver 2.0) memory module, The

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015

Speedster22i FPGA Family Power Estimator Tool User Guide. UG054- July 28, 2015 Speedster22i FPGA Family Power Estimator Tool User Guide UG054- July 28, 2015 1 UG054, July 28, 2015 Copyright Info Copyright 2015 Achronix Semiconductor Corporation. All rights reserved. Achronix is a

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning

External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 Section I. Device and Pin Planning External Memory Interface Handbook Volume 2 101 Innovation Drive San Jose, CA 95134 www.altera.com EMI_PLAN_PIN-3.0 Document

More information

Xilinx Answer Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide

Xilinx Answer Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide Xilinx Answer 63234 Xilinx MIG 7 Series DDR2 and DDR3 Performance Estimation Guide Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is

More information

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x72, ECC, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

t RP Clock Frequency (max.) MHz

t RP Clock Frequency (max.) MHz 3.3 V 32M x 64/72-Bit, 256MByte SDRAM Modules 168-pin Unbuffered DIMM Modules 168 Pin unbuffered 8 Byte Dual-In-Line SDRAM Modules for PC main memory applications using 256Mbit technology. PC100-222, PC133-333

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90003-T0031 ISSUE DATE MODULE PART NO. : July-28-2011 : 78.02GC6.AF0 PCB PART NO. : 48.18220.090 IC Brand DESCRIPTION : Hynix : DDR3

More information

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits)

ADQVD1B16. DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) General Description ADQVD1B16 DDR2-800+(CL4) 240-Pin EPP U-DIMM 2GB (256M x 64-bits) The ADATA s ADQVD1B16 is a 256Mx64 bits 2GB(2048MB) DDR2-800(CL4) SDRAM EPP memory module, The SPD is programmed to

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

1024MB DDR2 SDRAM SO-DIMM

1024MB DDR2 SDRAM SO-DIMM 1024MB DDR2 SDRAM SO-DIMM 1024MB DDR2 SDRAM SO-DIMM based on 128Mx8,8Banks, 1.8V DDR2 SDRAM with SPD Features Performance range ( Bandwidth: 6.4 GB/sec ) Part Number Max Freq. (Clock) Speed Grade 78.02G86.XX2

More information

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8

RML1531MH48D8F-667A. Ver1.0/Oct,05 1/8 DESCRIPTION The Ramaxel RML1531MH48D8F memory module family are low profile Unbuffered DIMM modules with 30.48mm height based DDR2 technology. DIMMs are available as ECC (x72) modules. The module family

More information

M2U1G64DS8HB1G and M2Y1G64DS8HB1G are unbuffered 200-Pin Double Data Rate (DDR) Synchronous DRAM Unbuffered Dual In-Line

M2U1G64DS8HB1G and M2Y1G64DS8HB1G are unbuffered 200-Pin Double Data Rate (DDR) Synchronous DRAM Unbuffered Dual In-Line 184 pin Based on DDR400/333 512M bit Die B device Features 184 Dual In-Line Memory Module (DIMM) based on 110nm 512M bit die B device Performance: Speed Sort PC2700 PC3200 6K DIMM Latency 25 3 5T Unit

More information

SDRAM DDR3 512MX8 ½ Density Device Technical Note

SDRAM DDR3 512MX8 ½ Density Device Technical Note SDRAM DDR3 512MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the PRN256M8V90BG8RGF-125 DDR3 SDRAM device operates and is configured as a 2Gb device. Addressing

More information

CoreDDR v4.0. Handbook

CoreDDR v4.0. Handbook CoreDDR v4.0 Handbook Actel Corporation, Mountain View, CA 94043 2008 Actel Corporation. All rights reserved. Printed in the United States of America Part Number: 50200109-2 Release: July 2009 No part

More information

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM).

JEDEC Standard No. 21 -C Page Appendix E: Specific PD s for Synchronous DRAM (SDRAM). Page 4.1.2.5-1 4.1.2.5 - Appendix E: Specific PD s for Synchronous DRAM (SDRAM). 1.0 Introduction: This appendix describes the Presence Detects for Synchronous DRAM Modules with SPD revision level 2 (02h).

More information

2GB Unbuffered DDR3 SDRAM DIMM

2GB Unbuffered DDR3 SDRAM DIMM INDÚSTRIA ELETRÔNICA S/A 2GB Unbuffered DDR3 SDRAM DIMM HB3DU002GFM8DMB33 (256M words x 64bits, 1 Rank) Documento No. HB3DU002GFM8DMB33-1-E-10022. Publicação: Setembro de 2010 EK DATA SHEET 2GB Unbuffered

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0026 ISSUE DATE MODULE PART NO. : July-26-2012 : 78.A1GDR.AF00C PCB PART NO. : 48.16221.090 IC Brand DESCRIPTION : Hynix :

More information

High-Performance DDR3 SDRAM Interface in Virtex-5 Devices Author: Adrian Cosoroaba

High-Performance DDR3 SDRAM Interface in Virtex-5 Devices Author: Adrian Cosoroaba Application Note: Virtex-5 FPGAs XAPP867 (v1.2.1) July 9, 2009 High-Performance DD3 SDAM Interface in Virtex-5 Devices Author: Adrian Cosoroaba Summary Introduction DD3 SDAM Overview This application note

More information

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 256Mx4 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram GR2DR4BD-E4GBXXXVLP is a 512M bit x 72 DDDR2 SDRAM high density ECC REGISTERED DIMM. The GR2DR4BD-E4GBXXXVLP consists of eighteen CMOS 512M x 4 STACKED DDR2 SDRAMs for 4GB

More information

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns.

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns. UDIMM MT4VDDT1664A 128MB MT4VDDT3264A 256MB For component data sheets, refer to Micron s Web site: www.micron.com 128MB, 256MB (x64, SR) 184-Pin UDIMM Features Features 184-pin, unbuffered dual in-line

More information

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall

Memory System Overview. DMA & Endian-ness. Technology. Architectural. Problem: The Memory Wall The Memory Wall EE 357 Unit 13 Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology targets density rather than speed) Large memories

More information

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL

LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC CL LE4ASS21PEH 16GB Unbuffered 2048Mx64 DDR4 SO-DIMM 1.2V Up to PC4-2133 CL 15-15-15 General Description This Legacy device is a JEDEC standard unbuffered SO-DIMM module, based on CMOS DDR4 SDRAM technology,

More information

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh

DDR2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Application Note: Virtex-4 Family XAPP702 (v1.8) April 23, 2007 DD2 Controller Using Virtex-4 Devices Author: Tze Yi Yeoh Summary DD2 SDAM devices offer new features that surpass the DD SDAM specifications

More information

SDRAM DDR3 512MX8 ½ Density Device Technical Note

SDRAM DDR3 512MX8 ½ Density Device Technical Note SDRAM DDR3 512MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the XAA512M8V90BG8RGF-SSWO and SSW1 DDR3 SDRAM device is configured and tested as a 2Gb device.

More information

8M x 64 Bit PC-100 SDRAM DIMM

8M x 64 Bit PC-100 SDRAM DIMM PC-100 SYNCHRONOUS DRAM DIMM 64814ESEM4G09TWF 168 Pin 8Mx64 (Formerly 64814ESEM4G09T) Unbuffered, 4k Refresh, 3.3V with SPD Pin Assignment General Description The module is a 8Mx64 bit, 9 chip, 168 Pin

More information

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: www.micron.com 256MB, 512MB (x64, SR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin, unbuffered

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

SDRAM DDR3 256MX8 ½ Density Device Technical Note

SDRAM DDR3 256MX8 ½ Density Device Technical Note SDRAM DDR3 256MX8 ½ Density Device Technical Note Introduction This technical note provides an overview of how the SGG128M8V79DG8GQF-15E DDR3 SDRAM device is configured and tested as a 1Gb device. This

More information

Features. DDR3 Registered DIMM Spec Sheet

Features. DDR3 Registered DIMM Spec Sheet Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin, Registered Dual In-line Memory Module (RDIMM) Fast data transfer rates: PC3-8500, PC3-10600, PC3-12800

More information

Excalibur Solutions Using the SDRAM Controller. Introduction

Excalibur Solutions Using the SDRAM Controller. Introduction Excalibur Solutions Using the SDRAM Controller September 2002, ver. 1.0 Application Note 141 Introduction In modern embedded systems, synchronous dynamic RAM (SDRAM) provides an inexpensive way of incorporating

More information

AM43xx EMIF Tools. Application Report. Trademarks. Siva Kothamasu

AM43xx EMIF Tools. Application Report. Trademarks. Siva Kothamasu Application Report Siva Kothamasu ABSTRACT At the center of every application is the need for memory. With limited on-chip processor memory, external memory serves as a solution for large software systems

More information

4GB Unbuffered DDR3 SDRAM SODIMM

4GB Unbuffered DDR3 SDRAM SODIMM INDÚSTRIA ELETRÔNICA S/A 4GB Unbuffered DDR3 SDRAM SODIMM HB3SU004GFM8DMB33 (512M words x 64bits, 2 Rank) Documento No. HBS- HB3SU004GFM8DMB33-1-E-10020. Publicação: Setembro de 2010 EK DATA SHEET 4GB

More information

440GX Application Note

440GX Application Note DDR Memory and the PowerPC 440GP/GX DDR SRAM Controller January 22, 2008 Abstract DDR (double data rate) memory is very similar to existing SDRAM (synchronous dynamic random access memory) in many ways,

More information

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS TECHNOLOGY BRIEF June 2002 Compaq Computer Corporation Prepared by ISS Technology Communications C ONTENTS Executive Summary 1 Notice 2 Introduction 3 SDRAM Operation 3 How CAS Latency Affects System Performance

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0.

Configuration Pins Ports Clocks Ram Logical cores SDRAM server 20 4 (1-bit), 1 (16-bit) 1 ~4.0K 1 Memory address allocator ~0. SDRAM Library The XMOS SDRAM library is designed for read and write access of arbitrary length 32b long word buffers at up to 62.5MHz clock rates. It uses an optimized pinout with address and data lines

More information

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module (UDIMM)

More information

Designing a High Performance SDRAM Controller Using ispmach Devices

Designing a High Performance SDRAM Controller Using ispmach Devices February 2002 Reference Design RD1007 Introduction Synchronous DRAMs have become the memory standard in many designs. They provide substantial advances in DRAM performance. They synchronously burst data

More information

PDRB X DD4333-1G DATA SHEET. Memory Module Part Number DD4333-1G

PDRB X DD4333-1G DATA SHEET. Memory Module Part Number DD4333-1G DATA SHEET Memory Module Part Number 1. Description 184pin Unbuffered DIMM PC3200/CL=3,tRCD=3,tRP=3(200MHz Double Data Rate) 2. Module Specification Specification Capacity 1GByte Physical Bank(s) 2 Module

More information

Features. DDR3 UDIMM w/o ECC Product Specification. Rev. 14 Dec. 2011

Features. DDR3 UDIMM w/o ECC Product Specification. Rev. 14 Dec. 2011 Features DDR3 functionality and operations supported as defined in the component data sheet 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC3-8500, PC3-10600, PC3-12800

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Enabling success from the center of technology. Interfacing FPGAs to Memory

Enabling success from the center of technology. Interfacing FPGAs to Memory Interfacing FPGAs to Memory Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a

More information

DD333-S512 PDRB X DATA SHEET. Memory Module Part Number DD333-S512 BUFFALO INC. (1/7)

DD333-S512 PDRB X DATA SHEET. Memory Module Part Number DD333-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number DD333-S512 (1/7) 1. Description 184pin Unbuffered DIMM PC2700/CL=2.5(166MHz Double Data Rate) 2. Module Specification Specification Capacity 512MByte Physical Bank(s)

More information

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness

Mark Redekopp, All rights reserved. EE 352 Unit 10. Memory System Overview SRAM vs. DRAM DMA & Endian-ness EE 352 Unit 10 Memory System Overview SRAM vs. DRAM DMA & Endian-ness The Memory Wall Problem: The Memory Wall Processor speeds have been increasing much faster than memory access speeds (Memory technology

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0007 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0007 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0007 ISSUE DATE MODULE PART NO. : March-2-2012 : 78.A1GAS.403 PCB PART NO. : 48.18193.093 IC Brand DESCRIPTION : Samsung :

More information

IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit)

IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit) Datasheet Rev. 1.0 2013 IMM1G72D2FBD4AG (Die Revision A) 8GByte (1024M x 72 Bit) 8GB DDR2 Fully Buffered DIMM RoHS Compliant Product Datasheet Version 1.0 1 IMM1G72D2FBD4AG Version: Rev. 1.0, DEC 2013

More information

M1U51264DS8HC1G, M1U51264DS8HC3G and M1U25664DS88C3G are unbuffered 184-Pin Double Data Rate (DDR) Synchronous

M1U51264DS8HC1G, M1U51264DS8HC3G and M1U25664DS88C3G are unbuffered 184-Pin Double Data Rate (DDR) Synchronous 184 pin Based on DDR400/333 256M bit C Die device Features 184 Dual In-Line Memory Module (DIMM) based on 256M bit die C device, organized as either 32Mx8 or 16Mx16 Performance: PC3200 PC2700 Speed Sort

More information

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: SODIMM MT8VDDT1664H 128MB 1 128MB, 256MB, 512MB (x64, SR) 200-Pin SODIMM Features MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features

More information

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7)

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VS133-S512 (1/7) 1. Description 168pin Unbuffered DIMM PC133/CL=3 2. Module Specification Specification Capacity 512MByte Physical Bank(s) 1 Module Organization 64M

More information

M8M644S3V9 M16M648S3V9. 8M, 16M x 64 SODIMM

M8M644S3V9 M16M648S3V9. 8M, 16M x 64 SODIMM MM644S3V9 MM64S3V9 SDRAM Features: JEDEC Standard 144-pin, PC100, PC133 small outline, dual in-line memory Module (SODIMM) Unbuffered TSOP components. Single 3.3v +.3v power supply. Fully synchronous;

More information

DDR2 SDRAM UDIMM MT18HTF12872AZ 1GB MT18HTF25672AZ 2GB MT18HTF51272AZ 4GB. Features. 1GB, 2GB, 4GB (x72, ECC, DR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT18HTF12872AZ 1GB MT18HTF25672AZ 2GB MT18HTF51272AZ 4GB. Features. 1GB, 2GB, 4GB (x72, ECC, DR) 240-Pin DDR2 SDRAM UDIMM. DDR SDRAM UDIMM MT8HTF87AZ GB MT8HTF567AZ GB MT8HTF57AZ 4GB GB, GB, 4GB (x7, ECC, DR) 40-Pin DDR SDRAM UDIMM Features Features 40-pin, unbuffered dual in-line memory module Fast data transfer rates: PC-8500,

More information

DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB MT8JTF51264AZ 4GB. Features. 1GB, 2GB, 4GB (x64, SR) 240-Pin DDR3 UDIMM.

DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB MT8JTF51264AZ 4GB. Features. 1GB, 2GB, 4GB (x64, SR) 240-Pin DDR3 UDIMM. DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB MT8JTF51264AZ 4GB 1GB, 2GB, 4GB (x64, SR) 240-Pin DDR3 UDIMM Features Features DDR3 functionality and operations supported as defined in the component

More information

DDR4 Memory Technology on HP Z Workstations

DDR4 Memory Technology on HP Z Workstations Technical white paper DDR4 Memory Technology on HP Z Workstations DDR4 is the latest memory technology available for main memory on mobile, desktops, workstations, and server computers. DDR stands for

More information

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB 512MB, 1GB (x64, DR) 200-Pin DDR SODIMM Features For component data sheets, refer to Micron s Web site: www.micron.com Features 200-pin, small-outline dual

More information

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module Fast data transfer

More information

1.35V DDR3L SDRAM UDIMM

1.35V DDR3L SDRAM UDIMM 1.35V DDR3L SDRAM UDIMM MT4KTF25664AZ 2GB 2GB (x64, SR) 240-Pin DDR3L UDIMM Features Features DDR3L functionality and operations supported as defined in the component data sheet 240-pin, unbuffered dual

More information

1998 Technical Documentation Services

1998 Technical Documentation Services Technical Reference 1998 Technical Documentation Services Printed in U.S.A., January 1998 SMMU001 Book Type Two Lines Volume # Book Type Volume # Book Type Two Lines year Book Type year Serial Presence

More information

DDR3 SDRAM SODIMM MT8JTF12864HY 1GB MT8JTF25664HY 2GB

DDR3 SDRAM SODIMM MT8JTF12864HY 1GB MT8JTF25664HY 2GB DDR3 SDRAM SODIMM MT8JTF12864HY 1GB MT8JTF25664HY 2GB Features For component data sheets, refer to Micron s Web site: www.micron.com Features DDR3 functionality and operations supported as per component

More information

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15)

PM PDRB X DATA SHEET. Memory Module Part Number. PM MByte Non ECC BUFFALO INC. (1/15) DATA SHEET Memory Module Part Number PM100-256 256MByte Non ECC (1/15) Table of Contents 1. Description 2. Module Specification 3. Module Pinout 4. Mechanical Design 5. Block Diagram 6. Electrical Specifications

More information

Technical Note Designing for High-Density DDR2 Memory

Technical Note Designing for High-Density DDR2 Memory Technical Note Designing for High-Density DDR2 Memory TN-47-16: Designing for High-Density DDR2 Memory Introduction Introduction DDR2 memory supports an extensive assortment of options for the system-level

More information

1GB, 2GB (x64, SR) 240-Pin DDR3 UDIMM Features

1GB, 2GB (x64, SR) 240-Pin DDR3 UDIMM Features DDR3 SDRAM UDIMM MT4JTF12864AZ 1GB MT4JTF25664AZ 2GB 1GB, 2GB (x64, SR) 240-Pin DDR3 UDIMM Features Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin,

More information

2GB DDR3 SDRAM UDIMM. RoHS Compliant. Product Specifications. January 15, Version 1.2. Apacer Technology Inc.

2GB DDR3 SDRAM UDIMM. RoHS Compliant. Product Specifications. January 15, Version 1.2. Apacer Technology Inc. RoHS Compliant 2GB DDR3 SDRAM UDIMM Product Specifications January 15, 2016 Version 1.2 Apacer Technology Inc. 1F., No.32, Zhongcheng Rd., Tucheng Dist., New Taipei City 236, Taiwan Tel: +886-2-2267-8000

More information

1.35V DDR3L SDRAM UDIMM

1.35V DDR3L SDRAM UDIMM 1.35V DDR3L SDRAM UDIMM MT8KTF12864AZ 1GB MT8KTF25664AZ 2GB MT8KTF51264AZ 4GB 1GB, 2GB, 4GB (x64, SR) 240-Pin 1.35V DDR3L UDIMM Features Features DDR3L functionality and operations supported as defined

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

DN333-S256 PDRB X DATA SHEET. Memory Module Part Number DN333-S256 BUFFALO INC. (1/7)

DN333-S256 PDRB X DATA SHEET. Memory Module Part Number DN333-S256 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number DN333-S256 (1/7) 1. Description 200pin Unbuffered SO-DIMM PC2700/CL=2.5(166MHz Double Data Rate) 2. Module Specification Specification Capacity 256MByte Physical Bank(s)

More information

MT51J256M32 16 Meg x 32 I/O x 16 banks, 32 Meg x 16 I/O x 16 banks. Options 1. Note:

MT51J256M32 16 Meg x 32 I/O x 16 banks, 32 Meg x 16 I/O x 16 banks. Options 1. Note: GDDR SGRM 8Gb: x6, x GDDR SGRM Features MTJ6M 6 Meg x I/O x 6 banks, Meg x 6 I/O x 6 banks Features = =.V ±% and.v ±% Data rate: 6.0 Gb/s, 7.0 Gb/s, 8.0 Gb/s 6 internal banks Four bank groups for t CCDL

More information

Taiwan Micropaq Corporation

Taiwan Micropaq Corporation Taiwan Micropaq Corporation SPECIFICATION FOR APPROVAL TM50S116T-7G No.4 Wenhua Rd. HsinChu Industrial Park HuKou, Taiwan, R.O.C. TEL 886-3-597-9402 FAX 886-3-597-0775 http://www.tmc.com.tw TMC SDRAM TM50S116T-7G

More information

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product

512Mb NAND FLASH + 256Mb LPDDR SDRAM MCP Product Multi-Chip Package MEMORY 512M bit(1.8v,32m x 16) 256M bit(1.8v,16m x 16) SLC NAND Flash LPDDR(Mobile DDR) SDRAM Revision No. History Draft Date Remark 00 Initial Draft Nov. 2012 1 Multi-Chip Package MEMORY

More information

2. SDRAM Controller Core

2. SDRAM Controller Core 2. SDRAM Controller Core Core Overview The SDRAM controller core with Avalon interface provides an Avalon Memory-Mapped (Avalon-MM) interface to off-chip SDRAM. The SDRAM controller allows designers to

More information

2GB 4GB 8GB Module Configuration 256 x M x x x 8 (16 components)

2GB 4GB 8GB Module Configuration 256 x M x x x 8 (16 components) 2GB WD3UN602G/WL3UN602G 4GB WD3UN604G/WL3UN604G GB WD3UN60G/WL3UN60G Features: 240-pin Unbuffered Non-ECC DDR3 DIMM for DDR3-1066, 1333, 1600 and 166MTs. JEDEC standard VDDL=1.35V (1.2V-1.45V); VDD=(1.5V

More information

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features DDR SDRAM SODIMM MT6HTF864HZ GB MT6HTF5664HZ GB GB, GB (x64, DR) 00-Pin DDR SDRAM SODIMM Features Features 00-pin, small-outline dual in-line memory module (SODIMM) Fast data transfer rates: PC-300, PC-400,

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB. Features. 1GB, 2GB (x64, SR) 240-Pin DDR3 SDRAM UDIMM. Features

DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB. Features. 1GB, 2GB (x64, SR) 240-Pin DDR3 SDRAM UDIMM. Features DDR3 SDRAM UDIMM MT8JTF12864AZ 1GB MT8JTF25664AZ 2GB 1GB, 2GB (x64, SR) 240-Pin DDR3 SDRAM UDIMM Features Features DDR3 functionality and operations supported as defined in the component data sheet 240-pin,

More information

TwinDie 1.35V DDR3L SDRAM

TwinDie 1.35V DDR3L SDRAM TwinDie 1.35R3L SDRAM MT41K4G4 256 Meg x 4 x 8 Banks x 2 Ranks MT41K2G8 128 Meg x 8 x 8 Banks x 2 Ranks 16Gb: x4, x8 TwinDie DDR3L SDRAM Description Description The 16Gb (TwinDie ) DDR3L SDRAM (1.35V)

More information

Xilinx Answer MIG UltraScale DDR4/DDR3 - Hardware Debug Guide

Xilinx Answer MIG UltraScale DDR4/DDR3 - Hardware Debug Guide Xilinx Answer 60305 MIG UltraScale DDR4/DDR3 - Hardware Debug Guide Important Note: This downloadable PDF of an Answer Record is provided to enhance its usability and readability. It is important to note

More information

Real Time Embedded Systems

Real Time Embedded Systems Real Time Embedded Systems " Memories " rene.beuchat@epfl.ch LAP/ISIM/IC/EPFL Chargé de cours LSN/hepia Prof. HES 1998-2008 2 General classification of electronic memories Non-volatile Memories ROM PROM

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

DD4333-S512 PDRB X DATA SHEET. Memory Module Part Number DD4333-S512 BUFFALO INC. (1/7)

DD4333-S512 PDRB X DATA SHEET. Memory Module Part Number DD4333-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number DD4333-S512 (1/7) 1. Description 184pin Unbuffered DIMM PC3200/CL=3,tRCD=3,tRP=3(200MHz Double Data Rate) 2. Module Specification Specification Capacity 512MByte Physical

More information