440GX Application Note

Size: px
Start display at page:

Download "440GX Application Note"

Transcription

1 DDR Memory and the PowerPC 440GP/GX DDR SRAM Controller January 22, 2008 Abstract DDR (double data rate) memory is very similar to existing SDRAM (synchronous dynamic random access memory) in many ways, including control structure, burst architecture, and command interface, but there are important differences between the two memory architectures. One key difference is the data transfer method. In SDRAM, one addressed unit of data is transferred on each rising edge of the memory clock signal. The memory clock is the main synchronizing signal in the SDRAM memory system. DDR memory, on the other hand, is burst-oriented and transfers data in units of two with a configurable burst size of 2, 4, or 8 units. The first unit of data is associated with the rising edge of the memory clock signal and the second unit of data is associated with the falling edge. The transfer rate effectively doubles that of older SDRAM memories. A second important feature of the DDR memory system is its use of the source-synchronous data strobe signal. The AMCC PowerPC 440GP and PowerPC 440GX both have an x8 organization to their memory interfaces. Each byte of the DDR interface has its own data strobe, DQSx. The PowerPC 440GP/GX generates the strobe signal during data write operations. The memory system generates the strobe signal during data reads. The memory system can operate with Error Checking and Correcting (ECC) where single bit errors are corrected and double bit errors are detected. The ECC function can be used with either bit-width of the memory system. This application note provides an overview of the DDR SDRAM interface for the PowerPC 440GP/GX, including discussions of memory write and memory read operations. This note also discusses ECC operations, and it provides suggestions for setting the read data path as well as general suggestions. Overview of the PowerPC 440GP/GX DDR SDRAM Interface The DDR SDRAM interface on the PowerPC 440GP/GX supports x8 DDR SDRAM in either a point-to-point or DIMM-based memory system. The DDR SDRAM interface consists of a processor local bus (PLB) slave interface and a device control register (DCR) interface. It provides a 32-bit or 64-bit interface with optional ECC. The DDR controller can support up to four 512-MB logical banks in limited configurations, providing memory up to 2 GB. Global memory timings, address and bank sizes, and memory addressing modes are programmable. The DDR SDRAM can be relocated in the memory address range, but relocation is generally not needed. Note: Placing the DDR SDRAM controller in sleep or self-refresh mode (or both) can reduce system power. In some respects, the DDR SDRAM interface can be broken down into three basic functional blocks: Configuration Write operations Read operations The configuration block controls the overall functionality of the memory controller interface. Registers control all of the configurable parameters of the DDR interface. The register values depend upon the type of memory system used and the parameters of the memory components. Because of the high signal-switching speeds, a detailed timing analysis of the memory system must be derived. Memory write operations are the least difficult to set up and perform correctly. See DDR SDRAM Memory Write Operations on page 2. Memory read transactions are more difficult to configure. The DDR SDRAM controller can alter the timing of various signals in relation to each other to allow fine-tuning of the memory system timings. See DDR SDRAM Memory Read Operations on page 2. Revision 1.01 Application Note (Proprietary) AN2008

2 DDR SDRAM Memory Write Operations When performing a memory write operation, the JEDEC DDR specification (JES79) states that the data strobe signal should appear in the middle of the associated data unit. The rising edge of the strobe signal should appear in the middle of the first unit of data and the falling edge of the strobe signal should appear in the second data unit. See Figure 1. Figure 1: Typical DDR Data Write Burst Operation, CAS 1 Device 1 When reviewing the timing information available for the DDR interface used in the PowerPC 440GP/GX, the end user will find that the data, strobe lines, and MemClkOut0 are skewed from this perfect alignment. In short, MemClkOut0 is out of alignment with the data and data strobe signals. This skewing is due to the internal routing of the signal lines, and it can generally be corrected using the timing registers in the interface. If the end user advances the MemClkOut0 signal by 90, most of the skew will be removed and the timings should appear close to ideal (MemClkOut0, data, and the strobe bits are roughly 625 ps out of alignment). Note: DDR memory devices have a data acceptance window for accepting a data write that is nearly a half-clock cycle wide, centered around the edges of the MemClkOut0 signal. This window is usually expressed as tdqss min and max. With a good layout, and by advancing MemClkOut0 by 90, DDR writes should always work. The timing can be further positioned using the SDRAM0_CLKTR register. Refer to the PowerPC 440GP User's Manual for more details and explanations. 2 Application Note (Proprietary) Revision 1.01

3 DDR SDRAM Memory Read Operations When performing memory reads, the JEDEC DDR specification states that data is launched coincidentally with the data strobe signal (see Figure 2). This means that the leading edge of data and the leading edge of the data strobe are sent in sync with each other from the DDR memory devices. Figure 2: Typical DDR Data Read Burst Operation, CAS 2 Device When data and strobe signals arrive at the input to the PowerPC 440GP/GX simultaneously, there is not adequate setup time for the arriving data to be latched into the read data path. To overcome this timing problem, all strobe signals are delayed by one-quarter of a memory clock cycle. The internals of the DDR memory controller found inside of the 440GP/GX generate the one-quarter of a cycle delay automatically. Unless the there is a problem with the PC Board layout, data should always be captured in the input stage of the read data path. The read data path stages are discussed on page 4. Revision 1.01 Application Note (Proprietary) 3

4 Read Data Path The read data path (see Figure 3) is key to synchronizing the data that arrives from the memory system with its time domain and realigning the data to the internal PowerPC 440GP/GX time domain. As shown in Figure 3 on page 4, the PLB must eventually pick up all memory system reads. Figure 3: Typical DDR Data Read Burst Operation, CAS 2 Device There are three stages to the read data path: Stage 1. Capture incoming data from the memory system. Stage 2. Realign the incoming data and its memory system time domain to the internal (PLB) time domain using the programmable delay settings. Stage 3. Insert an addition delay in case Stage 2 does not allow enough time to move the data to the PLB or perform ECC calculation logic (or both). The end user selects the path that data travels by way of a detailed timing budget analysis. The PowerPC 440GP User's Manual discusses the details of selecting the proper stages to use depending upon the structure of the memory system. The Read Sample Point setting is used to coordinate the collection of data from the read data path with the time that the data is placed on the PLB. This coordination is fairly straightforward. The SDRAM0_TR1[RDSS] setting determines the clock cycle relative to the DDR read command, during which the internal logic should sample the read data and move it to the PLB. This value is relative to the CAS latency of the memory system and the stages through which the data flows. Basically, the more stages that data passes through in the read data path, the later the data is sampled and deposited on the PLB. Refer to the PowerPC 440GP User's Manual for rules for determining which sample point to select. Note: The major consideration when tuning the read data path should be the results of a timing budget calculation. The end user must calculate the total delays associated with read data and the effects of board layout. The timing budget calculations are beyond the scope of this document, but keep in mind the time it takes to generate ECC value and the time it takes to flow through the read data path. 4 Application Note (Proprietary) Revision 1.01

5 ECC Operations The DDR SDRAM controller in the PowerPC 440GP/GX has the ability to detect and correct single bit errors and detect double bit errors in the data being read from the memory system. In order to perform this function, an additional dedicated byte-wide DDR SDRAM device is added to the memory system. The additional memory device is used to hold the ECC values. Performing the ECC function on read data takes 4.0 ns. When enabling the ECC function, the timing budget calculations must include this value. This extra time can affect the path data takes through the read data path. If the memory system is physically compact, Stage 2 timing with ECC is possible, but generally data will have to travel through Stage 3 to ensure that there is enough time to receive the data, perform the ECC process on the data, and still have enough setup time for the data to be placed on the PLB bus. Read Data Path Suggestions The internal stages in the read data path are used to realign the external data to the internal time domain of the processor. Refer to the information about DDR in the PowerPC 440GP User's Manual for details about selecting the proper stages that data should follow in the read data path. Stage 1 is the low-latency, and therefore fastest, path to the PLB. Data needs to be delivered and have time to flow to the PLB sample register. This path will not usually allow the ECC operation to be performed; there is just not enough time to do everything. Stage 2 is the usual path for most memory systems. This allows the data to be captured, realigned to the internal timing, and sometimes passed through the ECC logic. Using Stage 2 does not necessarily guarantee being able to perform the ECC function and meeting the setup time for the PLB bus stage. Stage 3 is usually used for CAS 2.5 devices, but it is also used to allow enough time to perform the ECC process on the incoming data. Refer to the PowerPC 440GP User's Manual for the details about the read data path and suggestions for selecting the proper stages to use in the read data path. Revision 1.01 Application Note (Proprietary) 5

6 General Suggestions The setup and hold times for the internal flip-flops of the read data path are 100 ps for setup and 200 ps for hold. When using ECC memory devices, the end user must take into account the time delay associated with the ECC logic. It takes 4.0 ns to perform this operation, plus the 100 ps setup time needed for the flip-flops that capture data to the PLB bus. Fixed values for the delay line calibration register can be calculated and should work for all logic boards. However, because all chips are different, process variations can affect the calibration process and board build variabilities can affect timing. Temperature can also have an effect. The delay line used in the PowerPC 440 processors should be stable to ± 200 ps. Calculated values for the programmable delay line should be stable for the particular implementations of the processor, but this should be proven on the target system and environments through testing. If this variability is too large, active tuning code can be developed to actively tune in the memory systemstimingvalues.thesoftware' can be executed each time the target board is powered up, allowing the DDR memory system interface timings to be optimized. In addition, the software can be rerun when desired to optimize timing values. The following three groups of sample register settings were generated for two different memory systems: a small point-to-point system and the PowerPC 440GP evaluation board. The small point-to-point system was built from the individual memory parts, one ECC memory, and two main memory components, soldered directly to the circuit board. The remaining values were generated from the PowerPC 440GP evaluation board. Note: These groups of registers do not cover all the settings that are needed to properly configure the DDR SDRAM controller. Point-to-point sample DDR memory controller settings (128-MB CAS MHz NON-ECC DIMM) - SDRAM0_TR0 = x' ' - SDRAM0_TR1 = x' ' - SDRAM0_CFG0 = x'b ' - SDRAM0_CLKTR = x' ' - SDRAM0_WDDCTR = x' ' PowerPC 440GP evaluation board memory controller settings (128-MB CAS MHz NON-ECC DIMM) - SDRAM0_TR0 = x'410a 4012' - SDRAM0_TR1 = x' C' - SDRAM0_DLYCAL = x' ' - SDRAM0_CFG0 = x'b ' - SDRAM0_B0CR = x'000a 4001' PowerPC 440GP evaluation board memory controller settings (256-MB CAS MHz ECC DIMM) - SDRAM0_TR0 = x'410a 4012' - SDRAM0_TR1 = x' ' - SDRAM0_DLYCAL = x' ' - SDRAM0_CFG0 = x'b ' - SDRAM0_B0CR = x'000c 4001' - SDRAM0_WDDCTR = x' ' 6 Application Note (Proprietary) Revision 1.01

7 Conclusion The DDR SDRAM memory controller in the PowerPC 440GP/GX is very flexible, but can be complex to set up. The end user must understand the function of the DDR controller, the functionality of the memory devices, and the timings associated with the end design before attempting to set up the DDR controller. With a little time and investigation, however, the task of configuring the interface can be made less complex. Revision 1.01 Application Note (Proprietary) 7

8 Document Revision History Revision Date Description v1.01 1/22/08 Converted layout to AMCC format. 8 Application Note (Proprietary) Revision 1.01

9 Applied Micro Circuits Corporation 6310 Sequence Dr., San Diego, CA Main Phone: (858) Technical Support Phone: (858) (800) AMCC reserves the right to make changes to its products, its datasheets, or related documentation, without notice and warrants its products solely pursuant to its terms and conditions of sale, only to substantially comply with the latest available datasheet. Please consult AMCC s Term and Conditions of Sale for its warranties and other terms, conditions and limitations. AMCC may discontinue any semiconductor product or service without notice, and advises its customers to obtain the latest version of relevant information to verify, before placing orders, that the information is current. AMCC does not assume any liability arising out of the application or use of any product or circuit described herein, neither does it convey any license under its patent rights nor the rights of others. AMCC reserves the right to ship devices of higher grade in place of those of lower grade. AMCC SEMICONDUCTOR PRODUCTS ARE NOT DESIGNED, INTENDED, AUTHORIZED, OR WARRANTED TO BE SUITABLE FOR USE IN LIFE-SUPPORT APPLICATIONS, DEVICES OR SYSTEMS OR OTHER CRITICAL APPLICATIONS. AMCC is a registered Trademark of Applied Micro Circuits Corporation. Copyright 2008 Applied Micro Circuits Corporation. I2C BUS is a registered Trademark of Philips N.V. Corporation Netherlands. Revision 1.01 Application Note (Proprietary) 9

440GX Application Note

440GX Application Note ECC Overview for the PowerPC 440GP/GX January 18, 2008 Introduction The DDR SDRAM controller found on both the AMCC PowerPC 440GP and the PowerPC 440GX supports Error Checking and Correction (ECC) of memory

More information

440GX Application Note

440GX Application Note Overview of TCP/IP Acceleration Hardware January 22, 2008 Introduction Modern interconnect technology offers Gigabit/second (Gb/s) speed that has shifted the bottleneck in communication from the physical

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS

TECHNOLOGY BRIEF. Double Data Rate SDRAM: Fast Performance at an Economical Price EXECUTIVE SUMMARY C ONTENTS TECHNOLOGY BRIEF June 2002 Compaq Computer Corporation Prepared by ISS Technology Communications C ONTENTS Executive Summary 1 Notice 2 Introduction 3 SDRAM Operation 3 How CAS Latency Affects System Performance

More information

1998 Technical Documentation Services

1998 Technical Documentation Services Technical Reference 1998 Technical Documentation Services Printed in U.S.A., January 1998 SMMU001 Book Type Two Lines Volume # Book Type Volume # Book Type Two Lines year Book Type year Serial Presence

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x72, ECC, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

GOODRAM Industrial DDR2 Unbuffered DIMM 240pin standard temperature

GOODRAM Industrial DDR2 Unbuffered DIMM 240pin standard temperature GOODRAM Industrial DDR2 Unbuffered DIMM 240pin standard temperature DATASHEET Version: 1.00 Date: March 2016 Wilk Elektronik S.A. Mikołowska 42 43-173 Łaziska Górne, Poland Tel.: +48 32 736 90 00, Fax.:

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs

Introduction. Synchronous vs. Asynchronous Memory. Converting Memory from Asynchronous to Synchronous for Stratix & Stratix GX Designs Converting from synchronous to Synchronous for Stratix & Stratix GX esigns November 2002, ver. 2.0 pplication Note 210 Introduction The Stratix TM and Stratix GX device families provide a unique memory

More information

AM43xx EMIF Tools. Application Report. Trademarks. Siva Kothamasu

AM43xx EMIF Tools. Application Report. Trademarks. Siva Kothamasu Application Report Siva Kothamasu ABSTRACT At the center of every application is the need for memory. With limited on-chip processor memory, external memory serves as a solution for large software systems

More information

8. Introduction to UniPHY IP

8. Introduction to UniPHY IP 8. Introduction to Uni IP November 2011 EMI_RM_008-1.1 EMI_RM_008-1.1 The Altera and SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, and RLDRAM II controller with Uni provide

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

GOODRAM Industrial DDR3 SODIMM 204pin standard temperature

GOODRAM Industrial DDR3 SODIMM 204pin standard temperature GOODRAM Industrial DDR3 SODIMM 204pin standard temperature DATASHEET Version: 1.00 Date: March 2016 Wilk Elektronik S.A. Mikołowska 42 43-173 Łaziska Górne, Poland Tel.: +48 32 736 90 00, Fax.: +48 32

More information

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2SOD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered SO-DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2SOD8AG

More information

SigmaRAM Echo Clocks

SigmaRAM Echo Clocks SigmaRAM Echo s AN002 Introduction High speed, high throughput cell processing applications require fast access to data. As clock rates increase, the amount of time available to access and register data

More information

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit)

IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) Product Specification Rev. 1.0 2015 IMME256M64D2DUD8AG (Die Revision E) 2GByte (256M x 64 Bit) 2GB DDR2 Unbuffered DIMM By ECC DRAM RoHS Compliant Product Product Specification 1.0 1 IMME256M64D2DUD8AG

More information

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001

PMC-DA Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL Version 1.0 June 2001 PMC-DA816 8 Channel 16 Bit D/A for PMC Systems REFERENCE MANUAL 796-10-000-4000 Version 1.0 June 2001 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel: (480) 838-2428 Fax:

More information

Enhanced Configuration Devices

Enhanced Configuration Devices Enhanced Configuration Devices October 2007, Version 1.2 Errata Sheet Introduction Intel-Flash- Based EPC Device Protection f This errata sheet provides updated information on enhanced configuration devices

More information

CPCI-SIP-2. Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 4.1 February 2007

CPCI-SIP-2. Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 4.1 February 2007 CPCI-SIP-2 Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL 729-41-002-4000 Version 4.1 February 2007 ALPHI TECHNOLOGY CORPORATION 1898 E. Southern Ave Tempe, AZ 85282 USA Tel:

More information

144-Mbit QDR -II SRAM 2-Word Burst Architecture

144-Mbit QDR -II SRAM 2-Word Burst Architecture ADVAE Y71610V, Y71625V Y71612V, Y71614V 144-Mbit QDR -II SRAM 2-Word Burst Architecture Features Separate independent read and write data ports Supports concurrent transactions 333 MHz clock for high bandwidth

More information

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 1.0 2015 IMM64M64D1DVS8AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M64D1DVS8AG Version:

More information

440GX Application Note

440GX Application Note Migrating to PowerPC 440GX from 440GP: Hardware Considerations January 18, 2008 Abstract The AMCC PowerPC 440GX processor contains several new features that significantly improve its performance over the

More information

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB, 2GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features

More information

Legacy SDRAM Controller with Avalon Interface

Legacy SDRAM Controller with Avalon Interface Legacy SDRAM Controller with Avalon Interface January 2003, Version 1.0 Data Sheet Introduction PTF Assignments SDRAM is commonly used in cost-sensitive applications requiring large amounts of memory.

More information

DDR and DDR2 SDRAM Controller Compiler User Guide

DDR and DDR2 SDRAM Controller Compiler User Guide DDR and DDR2 SDRAM Controller Compiler User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Operations Part Number Compiler Version: 8.1 Document Date: November 2008 Copyright 2008 Altera

More information

Key Features 240-pin, dual in-line memory module (DIMM) ECC 1-bit error detection and correction. Registered inputs with one-clock delay.

Key Features 240-pin, dual in-line memory module (DIMM) ECC 1-bit error detection and correction. Registered inputs with one-clock delay. C M 7 2 D D 1 0 2 4 R- X X X Key Features 240-pin, dual in-line memory module (DIMM) Ultra high density using 512 MBit SDRAM devices ECC 1-bit error detection and correction Registered inputs with one-clock

More information

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT8VDDT3264A 256MB MT8VDDT6464A 512MB For component data sheets, refer to Micron s Web site: www.micron.com 256MB, 512MB (x64, SR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin, unbuffered

More information

GOODRAM Industrial DDR3 ECC SODIMM LOW VOLTAGE 204pin standard temperature

GOODRAM Industrial DDR3 ECC SODIMM LOW VOLTAGE 204pin standard temperature GOODRAM Industrial DDR3 ECC SODIMM LOW VOLTAGE 204pin standard temperature DATASHEET Version: 1.00 Date: April 2016 Wilk Elektronik S.A. Mikołowska 42 43-173 Łaziska Górne, Poland Tel.: +48 32 736 90 00,

More information

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: SODIMM MT8VDDT1664H 128MB 1 128MB, 256MB, 512MB (x64, SR) 200-Pin SODIMM Features MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features

More information

CPCI-SIP. Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 2.0 June 1998

CPCI-SIP. Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL Version 2.0 June 1998 CPCI-SIP Slave Dual IndustryPack Carrier for 3U CompactPCI systems REFERENCE MANUAL 729-20-000-4000 Version 2.0 June 1998 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel:

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

COSC 6385 Computer Architecture - Memory Hierarchies (II)

COSC 6385 Computer Architecture - Memory Hierarchies (II) COSC 6385 Computer Architecture - Memory Hierarchies (II) Edgar Gabriel Spring 2018 Types of cache misses Compulsory Misses: first access to a block cannot be in the cache (cold start misses) Capacity

More information

PCI-4SIP. Slave Quad IndustryPack Carrier for PCI systems REFERENCE MANUAL Version 1.2 September 2001

PCI-4SIP. Slave Quad IndustryPack Carrier for PCI systems REFERENCE MANUAL Version 1.2 September 2001 PCI-4SIP Slave Quad IndustryPack Carrier for PCI systems REFERENCE MANUAL 798-12-000-4000 Version 1.2 September 2001 ALPHI TECHNOLOGY CORPORATION 6202 S. Maple Avenue #120 Tempe, AZ 85283 USA Tel: (480)

More information

ALTDQ_DQS2 Megafunction User Guide

ALTDQ_DQS2 Megafunction User Guide ALTDQ_DQS2 Megafunction ALTDQ_DQS2 Megafunction 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01089-2.2 Feedback Subscribe 2013 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE,

More information

10. Introduction to UniPHY IP

10. Introduction to UniPHY IP 10. Introduction to Uni IP November 2012 EMI_RM_008-2.1 EMI_RM_008-2.1 The Altera,, and LP SDRAM controllers with Uni, QDR II and QDR II+ SRAM controllers with Uni, RLDRAM II controller with Uni, and RLDRAM

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Errata Sheet for Cyclone V Devices

Errata Sheet for Cyclone V Devices Errata Sheet for Cyclone V Devices ES-1035-2.5 Errata Sheet Table 1. Device Issues (Part 1 of 2) This errata sheet provides information about known issues affecting Cyclone V devices. Table 1 lists the

More information

Reading a 16-Bit Bus With the TMS320C5x Serial Port

Reading a 16-Bit Bus With the TMS320C5x Serial Port TMS320 DSP DESIGNER S NOTEBOOK Reading a 16-Bit Bus With the TMS320C5x Serial Port APPLICATION BRIEF: SPRA270 Manuel Rodrigues Digital Signal Processing Products Semiconductor Group Texas Instruments May

More information

RLDRAM II Controller MegaCore Function

RLDRAM II Controller MegaCore Function RLDRAM II Controller MegaCore Function November 2006, MegaCore Version 1.0.0 Errata Sheet This document addresses known errata and documentation issues for the RLDRAM II Controller MegaCore function version

More information

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site:

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: www.micron.com 2GB, 4GB (x64, DR): 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered

More information

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit)

IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72D1SCS8AG (Die Revision D) 512MByte (64M x 72 Bit) RoHS Compliant Product Product Specification 1.0 1 IMM64M72D1SCS8AG Version: Rev. 1.0, MAY 2015 1.0 - Initial

More information

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation

AN1090. NoBL : The Fast SRAM Architecture. Introduction. NoBL SRAM Description. Abstract. NoBL SRAM Operation AN1090 NoBL : The Fast SRAM Architecture Associated Project: No Associated Part Family: All NoBL SRAMs Software Version: None Related Application Notes: None Abstract AN1090 describes the operation of

More information

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB

DDR SDRAM SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB SODIMM MT16VDDF6464H 512MB MT16VDDF12864H 1GB 512MB, 1GB (x64, DR) 200-Pin DDR SODIMM Features For component data sheets, refer to Micron s Web site: www.micron.com Features 200-pin, small-outline dual

More information

High-Performance 16-Point Complex FFT Features 1 Functional Description 2 Theory of Operation

High-Performance 16-Point Complex FFT Features 1 Functional Description 2 Theory of Operation High-Performance 16-Point Complex FFT April 8, 1999 Application Note This document is (c) Xilinx, Inc. 1999. No part of this file may be modified, transmitted to any third party (other than as intended

More information

Implementing LVDS in Cyclone Devices

Implementing LVDS in Cyclone Devices Implementing LVDS in Cyclone Devices March 2003, ver. 1.1 Application Note 254 Introduction Preliminary Information From high-speed backplane applications to high-end switch boxes, LVDS is the technology

More information

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS

Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Mixed Signal Verification of an FPGA-Embedded DDR3 SDRAM Memory Controller using ADMS Arch Zaliznyak 1, Malik Kabani 1, John Lam 1, Chong Lee 1, Jay Madiraju 2 1. Altera Corporation 2. Mentor Graphics

More information

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George XAPP688 (v1.2) May 3, 2004 R Application Note: Virtex-II Families Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George Summary Designing high-speed

More information

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended.

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended. 148 advantage of low latency, read and write operations with auto-precharge are recommended. The MB81E161622 chip is targeted for small-scale systems. For that reason, the output buffer capacity has been

More information

SSTL for DIMM Applications. SCBA014 December 1997

SSTL for DIMM Applications. SCBA014 December 1997 SSTL for DIMM Applications SCBA014 December 1997 1 Introduction The stub series-terminated logic (SSTL) interface standard is intended for high-speed memory interface applications and specifies switching

More information

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset

Pentium Processor Compatible Clock Synthesizer/Driver for ALI Aladdin Chipset 1CY 225 7 fax id: 3517 Features Multiple clock outputs to meet requirements of ALI Aladdin chipset Six CPU clocks @ 66.66 MHz, 60 MHz, and 50 MHz, pin selectable Six PCI clocks (CPUCLK/2) Two Ref. clocks

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns.

1. The values of t RCD and t RP for -335 modules show 18ns to align with industry specifications; actual DDR SDRAM device specifications are 15ns. UDIMM MT4VDDT1664A 128MB MT4VDDT3264A 256MB For component data sheets, refer to Micron s Web site: www.micron.com 128MB, 256MB (x64, SR) 184-Pin UDIMM Features Features 184-pin, unbuffered dual in-line

More information

Computer Memory Basic Concepts. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University

Computer Memory Basic Concepts. Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University Computer Memory Basic Concepts Lecture for CPSC 5155 Edward Bosworth, Ph.D. Computer Science Department Columbus State University The Memory Component The memory stores the instructions and data for an

More information

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13

CS24: INTRODUCTION TO COMPUTING SYSTEMS. Spring 2017 Lecture 13 CS24: INTRODUCTION TO COMPUTING SYSTEMS Spring 2017 Lecture 13 COMPUTER MEMORY So far, have viewed computer memory in a very simple way Two memory areas in our computer: The register file Small number

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

DDR SDRAM RDIMM MT36VDDF GB MT36VDDF GB

DDR SDRAM RDIMM MT36VDDF GB MT36VDDF GB DDR SDRAM RDIMM MT36VDDF12872 1GB MT36VDDF25672 2GB For component data sheets, refer to Micron s Web site: www.micron.com 1GB, 2GB (x72, ECC, DR) 184-Pin DDR SDRAM RDIMM Features Features 184-pin, registered

More information

PSoC 4 Low Power Comparator (LPComp) Features. General Description. When to Use a LPComp 2.0. Low input offset. User controlled offset calibration

PSoC 4 Low Power Comparator (LPComp) Features. General Description. When to Use a LPComp 2.0. Low input offset. User controlled offset calibration 2.0 Features Low input offset User controlled offset calibration Multiple speed modes Low-power mode Wake from low power modes Multiple interrupt and output modes General Description The Low Power Comparator

More information

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features

DDR2 SDRAM SODIMM MT16HTF12864HZ 1GB MT16HTF25664HZ 2GB. Features. 1GB, 2GB (x64, DR) 200-Pin DDR2 SDRAM SODIMM. Features DDR SDRAM SODIMM MT6HTF864HZ GB MT6HTF5664HZ GB GB, GB (x64, DR) 00-Pin DDR SDRAM SODIMM Features Features 00-pin, small-outline dual in-line memory module (SODIMM) Fast data transfer rates: PC-300, PC-400,

More information

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement

AIRbus Interface. Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width. Functional Description. General Arrangement AIRbus Interface December 22, 2000; ver. 1.00 Functional Specification 9 Features Fixed width (8-, 16-, or 32-bit) data transfers (dependent on the width of the data bus) Read and write access Four-way

More information

Mobile DRAM s Frequently violated parameters Application Note

Mobile DRAM s Frequently violated parameters Application Note SEC-Mobile DRAM Mobile DRAM s Frequently violated parameters Application Note Version 1.0 May 2009 Samsung Electronics Copyright c 2009 Samsung Electronics Co.,LTD. Copyright 2009 Samsung Electronics Co,

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University DRAMs Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Main Memory & Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width

More information

QDR II SRAM Board Design Guidelines

QDR II SRAM Board Design Guidelines 8 emi_dg_007 Subscribe The following topics provide guidelines for you to improve your system's signal integrity and layout guidelines to help successfully implement a QDR II or QDR II+ SRAM interface

More information

ASM5P2308A. 3.3 V Zero-Delay Buffer

ASM5P2308A. 3.3 V Zero-Delay Buffer 3.3 V Zero-Delay Buffer Description ASM5P2308A is a versatile, 3.3 V zero delay buffer designed to distribute high speed clocks. It is available in a 16 pin package. The part has an on chip PLL which locks

More information

SigmaQuad Common I/O Design Guide

SigmaQuad Common I/O Design Guide SigmaQuad ommon I/O Design Guide Introduction The 36Mb and 72Mb SigmaQuad product line has five different product families. These are SigmaQuad ommon I/O (IO) DDR urst of 2 (2), SigmaQuad ommon I/O (IO)

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module (UDIMM)

More information

PCI Express Multi-Channel DMA Interface

PCI Express Multi-Channel DMA Interface 2014.12.15 UG-01160 Subscribe The PCI Express DMA Multi-Channel Controller Example Design provides multi-channel support for the Stratix V Avalon Memory-Mapped (Avalon-MM) DMA for PCI Express IP Core.

More information

11. Analyzing Timing of Memory IP

11. Analyzing Timing of Memory IP 11. Analyzing Timing of Memory IP November 2012 EMI_DG_010-4.2 EMI_DG_010-4.2 Ensuring that your external memory interface meets the various timing requirements of today s high-speed memory devices can

More information

Bandwidth, Area Efficient and Target Device Independent DDR SDRAM Controller

Bandwidth, Area Efficient and Target Device Independent DDR SDRAM Controller Bandwidth, Area Efficient and Target Device Independent DDR SDRAM T. Mladenov, F. Mujahid, E. Jung, and D. Har Abstract The application of the synchronous dynamic random access memory (SDRAM) has gone

More information

DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers

DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers DP8420V 21V 22V-33 DP84T22-25 microcmos Programmable 256k 1M 4M Dynamic RAM Controller Drivers General Description The DP8420V 21V 22V-33 DP84T22-25 dynamic RAM controllers provide a low cost single chip

More information

This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show.

This optional pin is present if the Mode parameter is set to SyncMode or PulseMode. Otherwise, the clock input does not show. 1.60 Features Up to 8-bit General Description The allows the firmware to output digital signals. When to Use a Use a when the firmware needs to interact with a digital system. You can also use the as a

More information

DP8420A,DP8421A,DP8422A

DP8420A,DP8421A,DP8422A DP8420A,DP8421A,DP8422A DP8420A DP8421A DP8422A microcmos Programmable 256k/1M/4M Dynamic RAM Controller/Drivers Literature Number: SNOSBX7A DP8420A 21A 22A microcmos Programmable 256k 1M 4M Dynamic RAM

More information

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types

Basic Organization Memory Cell Operation. CSCI 4717 Computer Architecture. ROM Uses. Random Access Memory. Semiconductor Memory Types CSCI 4717/5717 Computer Architecture Topic: Internal Memory Details Reading: Stallings, Sections 5.1 & 5.3 Basic Organization Memory Cell Operation Represent two stable/semi-stable states representing

More information

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB. Features. 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT9HTF6472AZ 512MB MT9HTF12872AZ 1GB MT9HTF25672AZ 2GB 512MB, 1GB, 2GB (x72, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module Fast data transfer

More information

MAX 10 User Flash Memory User Guide

MAX 10 User Flash Memory User Guide MAX 10 User Flash Memory User Guide Subscribe Last updated for Quartus Prime Design Suite: 16.0 UG-M10UFM 101 Innovation Drive San Jose, CA 95134 www.altera.com TOC-2 Contents MAX 10 User Flash Memory

More information

7. External Memory Interfaces in Cyclone IV Devices

7. External Memory Interfaces in Cyclone IV Devices March 2016 CYIV-51007-2.6 7. External Memory Interaces in Cyclone IV Devices CYIV-51007-2.6 This chapter describes the memory interace pin support and the external memory interace eatures o Cyclone IV

More information

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 UG031, Nov 18, 2014 1 Copyright Info Copyright 2014 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and Speedster

More information

GT34C02. 2Kb SPD EEPROM

GT34C02. 2Kb SPD EEPROM Advanced GT34C02 2Kb SPD EEPROM Copyright 2010 Giantec Semiconductor Inc. (Giantec). All rights reserved. Giantec reserves the right to make changes to this specification and its products at any time without

More information

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation

Datasheet. Zetta 4Gbit DDR3L SDRAM. Features VDD=VDDQ=1.35V / V. Fully differential clock inputs (CK, CK ) operation Zetta Datasheet Features VDD=VDDQ=1.35V + 0.100 / - 0.067V Fully differential clock inputs (CK, CK ) operation Differential Data Strobe (DQS, DQS ) On chip DLL align DQ, DQS and DQS transition with CK

More information

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved. Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Internal Memory http://www.yildiz.edu.tr/~naydin 1 2 Outline Semiconductor main memory Random Access Memory

More information

DDR Testing:Compliance,Verify and Debug( 一 )

DDR Testing:Compliance,Verify and Debug( 一 ) DDR Testing:Compliance,Verify and Debug( 一 ) Double data-rate (DDR) memory has ruled the roost as the main system memory in PCs for a long time. Of late, it's seeing more usage in embedded systems as well.

More information

Stratix vs. Virtex-II Pro FPGA Performance Analysis

Stratix vs. Virtex-II Pro FPGA Performance Analysis White Paper Stratix vs. Virtex-II Pro FPGA Performance Analysis The Stratix TM and Stratix II architecture provides outstanding performance for the high performance design segment, providing clear performance

More information

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices

Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices Interfacing DDR2 SDRAM with Stratix II, Stratix II GX, and Arria GX Devices November 2007, ver. 4.0 Introduction Application Note 328 DDR2 SDRAM is the second generation of double-data rate (DDR) SDRAM

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

Chapter 5 Internal Memory

Chapter 5 Internal Memory Chapter 5 Internal Memory Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM) Read-write memory Electrically, byte-level Electrically Volatile Read-only memory (ROM) Read-only

More information

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10

Organization Row Address Column Address Bank Address Auto Precharge 128Mx8 (1GB) based module A0-A13 A0-A9 BA0-BA2 A10 GENERAL DESCRIPTION The Gigaram is ECC Registered Dual-Die DIMM with 1.25inch (30.00mm) height based on DDR2 technology. DIMMs are available as ECC modules in 256Mx72 (2GByte) organization and density,

More information

DDR & DDR2 SDRAM Controller

DDR & DDR2 SDRAM Controller DDR & DDR2 SDRAM Controller December 2005, Compiler Version 3.3.1 Release Notes These release notes for the DDR and DDR2 SDRAM Controller Compiler version 3.3.1 contain the following information: System

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

DRAM Refresh Control with the

DRAM Refresh Control with the APPLICATION BRIEF DRAM Refresh Control with the 80186 80188 STEVE FARRER APPLICATIONS ENGINEER August 1990 Order Number 270524-002 Information in this document is provided in connection with Intel products

More information

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices

White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices Introduction White Paper The Need for a High-Bandwidth Memory Architecture in Programmable Logic Devices One of the challenges faced by engineers designing communications equipment is that memory devices

More information

24-Bit Pseudo Random Sequence Generator Data Sheet

24-Bit Pseudo Random Sequence Generator Data Sheet 48. 24-Bit Pseudo Random Sequence Generator 24-Bit Pseudo Random Sequence Generator Data Sheet Copyright 2000-2009 Cypress Semiconductor Corporation. All Rights Reserved. PRS24 PSoC Blocks API Memory (Bytes)

More information

AN EZ-USB FX3 I 2 C Boot Option. Application Note Abstract. Introduction. FX3 Boot Options

AN EZ-USB FX3 I 2 C Boot Option. Application Note Abstract. Introduction. FX3 Boot Options EZ-USB FX3 I 2 C Boot Option Application Note Abstract AN68914 Author: Shruti Maheshwari Associated Project: No Associated Part Family: EZ-USB FX3 Software Version: None Associated Application Notes: None

More information