Enabling success from the center of technology. Interfacing FPGAs to Memory

Size: px
Start display at page:

Download "Enabling success from the center of technology. Interfacing FPGAs to Memory"

Transcription

1 Interfacing FPGAs to Memory

2 Goals 2 Understand the FPGA/memory interface Available memory technologies Available memory interface IP & tools from Xilinx Compare Performance Cost Resources Demonstrate a memory interface using the Xilinx Memory Interface Generator (MIG)

3 Agenda 3 The FPGA/memory solution Memory technologies FPGA-based memory controllers MIG demonstration Conclusion

4 Agenda 4 The FPGA/memory solution Memory technologies FPGA-based memory controllers MIG demonstration Conclusion

5 The FPGA/Memory Interface 5 Memory interface success in an FPGA is dependent on many things FPGA Controller Termination Termination Memory FPGA fabric Controller Memory Layout PCB Power Power

6 Layout and Power 6 This session does not cover layout and power Recommendations Follow the manufacturer s guidelines Analyze signal integrity Use proper termination If done correctly, these do not determine bandwidth and performance

7 Factors Affecting Performance 7 FPGA must support I/O standard for the memory System clock frequency requirements Controller IP must support Targeted FPGA architecture Memory modes and features Memory must support Desired bandwidth Cost objectives Solution = FPGA + IP + Memory Performance based on the weakest link

8 Two Examples 8 Virtex-4 FX embedded PowerPC with DDR SDRAM DDR SDRAM operates up to 200 MHz Maximum realistic processor bus speed is 100 MHz Processor bus is 64-bit, single data rate Bandwidth determined by processor bus Slowest speed grade, 32-bit DDR is acceptable Spartan-3A with DDR2 DDR2 SDRAM operates up to 533 MHz Xilinx IP can run at 333 MHz in Virtex-5 Spartan-3A max speed is 166 MHz Limited to 32-bit, double data rate Bandwidth determined by FPGA Slowest speed grade, 32-bit DDR2 is acceptable

9 Memory Selection Criteria 9 System What performance is required? What are the power or voltage limitations? Does the solution meet the cost target? FPGA and controller What controllers are available? How fast will those controllers run in each FPGA? Memory What memory technology meets application requirements? What speed grade memory is required for that performance? Which memory has an acceptable lifespan? Choose memory, FPGA, and controller that meet your needs

10 Agenda 10 The FPGA/memory solution Memory technologies FPGA-based memory controllers MIG demonstration Conclusion

11 Definitions for this Session 11 Clock rate is defined in MHz or GHz Data rate per pin is defined in Mbps or Gbps MHz GHz Mbps Gbps MBps GBps mega-hertz giga-hertz mega-bits per second giga-bits per second mega-bytes per second giga-bytes per second

12 Memory Technologies Flash and SRAM 12 Flash Non-volatile Varieties NOR NAND SRAM = Static Random Access Memory Volatile Varieties Standard synchronous ZBT / NoBL DDR-II / II+ QDR-II / II+

13 NOR Flash 13 Serial and parallel (x8, x8/x16, x16) Random-access Faster read speed than NAND FPGA configuration supported for both serial and parallel Serial option decreases pin count, cost, and bandwidth Simple interface (similar to standard synchronous SRAM) S33 SPI P30 / P33 8 SOIC 16 SOIC 16Mb 32Mb 64Mb 64Mb 512Mb

14 NAND Flash 14 Parallel (x8 or x16) Available in 2Gb, 4Gb, 8Gb, and 16Gb devices NAND has overtaken DRAM as the top selling memory Lowest price per bit Largest volume of shipping megabytes More complicated interface than NOR flash Controller must handle bad blocks and wear leveling Available from Micron and Intel

15 SRAM 15 Standard synchronous Simple interface 250 MHz 18 to 72Mb (144Mb coming) 2 to 9Mb not recommended for new designs Zero-Bus Turnaround (ZBT) or No Bus Latency (NoBL) Allows back-to-back read/write operations 250 MHz Similar densities to standard synchronous

16 DDR-II SRAM 16 DDR-II SRAM DDR = Double-data rate Single read/write port Data transferred on both clock edges Up to 300 MHz (600 Mbps) 18 to 72Mb DDR-II+ SRAM Next generation DDR-II Up to 400 MHz (800 Mbps) 500 MHz (1.0 Gbps) in to 72Mb

17 QDR-II SRAM 17 QDR-II QDR = Quad-data rate SRAM Data transferred on both clock edges Separate read and write ports 2x clock + 2x ports = 4x throughput 18 to 72Mb Up to 300 MHz (1.2 Gbps) QDR-II+ Next generation QDR-II Up to 400 MHz (1.6 Gbps) 500 MHz (2.0 Gbps) in to 72Mb

18 Memory Technologies - DRAM 18 DRAM = Dynamic Random Access Memory Volatile Refresh required Varieties SDR SDRAM DDR SDRAM DDR2 SDRAM DDR3 SDRAM RLDRAM-II

19 DRAM Technology Trends 19 Source: IDC, isuppli Q306 Choose mainstream memory technology

20 DRAM Component Density Trends 20 Source: IDC, Isuppli, Gartner Q306 Choose mainstream memory density

21 SDR SDRAM 21 SDR SDRAM = Single Data Rate Synchronous DRAM Only one clock edge used Simpler than DDR More expensive per bit than DDR Smaller density than DDR 64 to 512Mb Slower data rate than DDR 125 to 200 Mbps 3.3V LVTTL interface

22 DDR SDRAM 22 DDR = Double Data Rate Both clock edges used to transfer data More expensive per bit than DDR2 Smaller density than DDR2 128Mb to 1Gb Slower data rate than DDR2 200 to 400 Mbps SSTL 2.5V interface 1.25V reference voltage required

23 DDR2 SDRAM 23 DDR2 = 2 nd generation DDR Both clock edges used to transfer data Least expensive per bit Large density devices 256Mb to 2Gb Fast data rate devices 400 to 1066 Mbps On-die termination (ODT) for data May simplify board design Increased latency at higher frequencies SSTL 1.8V interface 0.9V reference voltage required

24 DDR3 SDRAM 24 DDR3 = 3rd generation DDR Both clock edges used to transfer data Limited device selection currently Micron.com shows only a 1Gb device (Feb 07) Data rates shown of 800 to 1066 Mbps Roadmap to 4Gb and 1.6 Gbps Improved packaging Thermal sensor for refresh frequency interval On-die termination (ODT) added for address/control May further simplify board design SSTL 1.5V interface 0.75V reference voltage required Expect to see DDR3 become lead device by 2010

25 DDR SDRAM Comparison 25 Voltage Speed Density On-Die Termination DDR 2.5V / 1.25V Mbps 128 Mb 1 Gb None DDR2 1.8V / 0.9V Mbps 256 Mb 2 Gb Data only DDR3 1.5V / 0.75V 800 Mbps 1.6 Gbps 512 Mb 4 Gb Data, address, control

26 RLDRAM-II 26 RLDRAM-II = 2nd generation Reduced Latency DRAM Also double data rate, similar to DDR2 More expensive per bit Limited device densities Device size range is 288 to 576Mb Fast devices Device data rate range is 400 to 1066 Mbps On-die termination (ODT) for data High, sustainable bandwidth in back-to-back read/writes L3 cache Networking applications High-end commercial graphics HSTL 1.5 interface (1.8V also available) 0.75V reference voltage required Programmable output impedance

27 Memory Modules 27 UDIMM or DIMM Unbuffered DIMM SODIMM Small Outline DIMM RDIMM Registered DIMM Modules simplify board layout Termination and routing

28 Memory Cost Comparison 28 Memory Part Number Speed (MHz) Size Cost Cost per MB SDR SDRAM MT48LC16M16A2P Mb $6.26 $0.196 DDR SDRAM MT46V16M16TG-6T Mb $4.56 $0.143 DDR2 SDRAM MT47H32M16BT-37E Mb $6.73 $0.105 RLDRAM MT49H16M18CFM Mb $ $3.03 Sync SRAM CY7C1382D-200AXCT Mb $24.42 $10.85 QDR SRAM CY7C1315BV18-200BZXC Mb $35.24 $15.66 Serial NOR M25P Mb $2.24 $1.12 NOR Flash RC28F320J Mb $7.03 $1.76 NAND Flash MT29F2G08AACWP -- 2Gb $8.20 $ piece web pricing (February 21, 2007)

29 Agenda 29 The FPGA/memory solution Memory technologies FPGA-based memory controllers Reference designs MIG EDK MPMC 3 rd -party MIG demonstration Conclusion

30 Why Do I Need a Controller? 30 EASIER TO USE! Manages multiple operations Initialization See the DDR datasheet excerpt Calibration Adjust the FPGA I/O delay Refresh DRAMs Interface to custom logic simplified Reduces the design effort

31 Xilinx Tools and IP 31 Application notes include reference designs Memory Interface Generator (MIG) Embedded Development Kit (EDK) IP Connect memory to MicroBlaze soft processor Connect memory to PowerPC hard processor Multi-port Memory Controller (MPMC) 3 rd -party controllers

32 Xilinx Application Notes 32 Based on a specific FPGA, memory, width, and speed Virtex-5 DDR SDRAM XAPP851 DDR2 SDRAM XAPP858 RLDRAM II XAPP852 QDR-II SRAM XAPP853 Virtex-4 DDR SDRAM XAPP709 DDR2 SDRAM Direct Clocking XAPP701 & XAPP702 DDR2 SDRAM SERDES XAPP721 & XAPP723 RLDRAM-II XAPP710 QDR-II SRAM XAPP703 Spartan-3/3E/3A DDR SDRAM XAPP768c DDR2 SDRAM XAPP454

33 Other Information 33 NAND Flash reference designs XAPP354 for CoolRunner-II Micron reference design TN ZBT SRAM reference design XAPP136 Based on Virtex/Spartan-II, but still useful SDR SDRAM reference design XAPP134 in ISE examples File Open Example XAPP 134: SDRAM Controller QDR-II+ SRAM Xilinx has it working with Virtex-5 in hardware Evaluating market demand before releasing and supporting

34 Memory Interface Generator (MIG) 34 Free utility to customize an FPGA s memory interface Based on XAPP reference designs Specify different FPGA device, package, or speed grade Specify particular memory type, width, and performance Customized outputs include RTL source for the memory controller in Verilog or VHDL Simulation testbench and support User Constraint File (UCF) Pinout specific for chosen FPGA device/package Logic block locations FPGA timing constraints Batch file to run ISE tools in command line mode Timing analysis Documentation

35 Example MIG Design Flow Using Project Navigator Project Navigator Core Generator Complete Design MIG MIG Outputs Download Design to Hardware

36 MIG Output Block Diagram 36 Testbench Memory Controller Memory Calibration Clock Mgmt Clock MIG Outputs FPGA

37 Testbench State Machine 37 Power On Write Initialize Memory Compare Read

38 MIG 1.7 Main Menu (Virtex-5) 38 Burst Length CAS Latency Additive Latency ODT Enable (Rtt) Select desired FPGA banks Set SSO limit per bank based on WASSO calculator User s ucf file checked against pin placement rules Slide courtesy of Xilinx

39 MIG 1.7 Component Controllers 39 DDR DDR2 RLDRAM-II QDR-II SRAM DDR-II SRAM Virtex MHz 333 MHz 300 MHz Virtex MHz 300 MHz 250 MHz 250 MHz 250 MHz Spartan-3A 166 MHz 166 MHz Spartan-3E 166 MHz Spartan MHz 166 MHz Fastest clock rate in fastest FPGA speed grade

40 MIG 1.7 DIMM Controllers 40 DDR DDR2 Virtex MHz Virtex MHz 267 MHz Spartan-3A 133 MHz 133 MHz Spartan-3E Spartan MHz 133 MHz Fastest clock rate in fastest FPGA speed grade

41 Agenda 41 The FPGA/memory solution Memory technologies FPGA-based memory controllers Reference designs MIG EDK MPMC 3 rd -party MIG demonstration Conclusion

42 MIG Controller Generation Demonstration 42 Generate a DDR2 controller in MIG 1.7 Based on Spartan-3A FPGA Parameterize controller Generate and review controller files Demonstrate operation of DDR2 controller in ISE Project Navigator 9.1 Configure Spartan-3A with sample testbench design View user interface in ChipScope logic analyzer Verify data Tools ISE 9.1 MIG 1.7 Chipscope 9.1 Hardware used Spartan-3A Starter Board XC3S700A Xilinx FPGA MT47H32M16 Micron DDR2

43 Demo Summary 43 Project Navigator.. Core Generator 1 2 Complete Design MIG MIG Outputs Download Design to Hardware

44 Demo Results 44 Verify memory controller function Use ChipScope logic analyzer DDR2 review Write 20 data words Open Row (RAS asserted) Write (CAS and WE asserted) Close Row (RAS and WE asserted) Read Open Row (RAS asserted) Read (CAS asserted) Close Row (RAS and WE asserted)

45 Agenda 45 The FPGA/memory solution Memory technologies FPGA-based memory controllers Reference designs MIG EDK MPMC 3 rd -party MIG demonstration Conclusion

46 Xilinx Processor-based Memory Controllers 46 Xilinx supports memory interfaces for two embedded processors MicroBlaze soft processor Available in all Virtex and Spartan-3 FPGAs PowerPC hard processor Available in Virtex-II Pro and Virtex-4 FX Controllers are included in the Embedded Development Kit (EDK) Controllers connect to processor busses XCL (high-speed) or OPB (low-speed) in MicroBlaze PLB (high-speed) or OPB (low-speed) in PowerPC Memory controller performance limited by bus

47 EDK 9.1 Memory Controllers 47 Serial NOR Flash Parallel NOR Flash Standard Sync. SRAM ZBT / NoBL SRAM SDR SDRAM DDR SDRAM DDR2 SDRAM MicroBlaze XCL X X X X X X MicroBlaze OPB X X X X X X PowerPC PLB X X X X X X PowerPC OPB X X X X X X Spartan-3/3E/3A typical bus speed = MHz Virtex-4/5 typical bus speed = 100 MHz

48 EDK Memory Controller Summary 48 Advantages Seamless interface to processor Supported by Base System Builder (BSB) Very easy to use No logic location constraints Pin location constraints are more flexible Challenges DDR/DDR2 use more clocking resources than MIG 2-3 DCMs and 5-7 BUFGs may be consumed

49 MPMC2 49 Multi-Port Memory Controller (2 nd generation) Stand-alone or processor-based Example projects are all processor-based Features Supports SRAM, DDR and DDR2 SDRAM Supports multiple masters DMA capable Programmable arbitration Applications Gigabit System Reference Design (GSRD) Dual processor access to a single memory

50 Standalone MPMC2 50

51 Minimum DDR2 Controller Resource Usage 51 Slices DCMs BUFGs BRAMs Spartan-3A MicroBlaze CacheLink Spartan-3A MIG Virtex-4 PowerPC PLB Virtex-4 PowerPC MPMC Virtex-5 MIG

52 3rd Party Memory Controllers 52 Northwest Logic DDR3, DDR2, DDR, SDR, Mobile DDR, Mobile SDR, RLDRAM II, and FCRAM MemCore High performance controllers Denali Widely used DDR controller solution (Databahn )

53 Agenda 53 The FPGA/memory solution Memory technologies FPGA-based memory controllers Reference designs MIG EDK MPMC 3 rd -party MIG demonstration Conclusion

54 Conclusion 54 Xilinx provides a rich offering of memory controllers Numerous memory types supported Stand-alone and processor-based options All are free (with Xilinx tools) Solution = FPGA + IP + Memory Performance typically limited by FPGA or IP Memory speed is not the limiting factor Compare cost, resources, and performance MIG is a very valuable tool Creating a pinout Complete controller design

55 What s Next? 55 Contact your Avnet FAE Get Xilinx tools ISE WebPACK can be downloaded free Get controller info at Get a development board Create your own memory design Memory speedway scheduled for Fall

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George

Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George XAPP688 (v1.2) May 3, 2004 R Application Note: Virtex-II Families Creating High-Speed Memory Interfaces with Virtex-II and Virtex-II Pro FPGAs Author: Nagesh Gupta, Maria George Summary Designing high-speed

More information

Interfacing FPGAs with High Speed Memory Devices

Interfacing FPGAs with High Speed Memory Devices Interfacing FPGAs with High Speed Memory Devices 2002 Agenda Memory Requirements Memory System Bandwidth Do I Need External Memory? Altera External Memory Interface Support Memory Interface Challenges

More information

High-Performance Memory Interfaces Made Easy

High-Performance Memory Interfaces Made Easy High-Performance Memory Interfaces Made Easy Xilinx 90nm Design Seminar Series: Part IV Xilinx - #1 in 90 nm We Asked Our Customers: What are your challenges? Shorter design time, faster obsolescence More

More information

Xilinx Memory Interface Generator (MIG) User Guide

Xilinx Memory Interface Generator (MIG) User Guide Xilinx Memory Interface Generator (MIG) User Guide DDR SDRAM, DDRII SRAM, DDR2 SDRAM, QDRII SRAM, and RLDRAM II Interfaces R Xilinx is disclosing this Document and Intellectual Property (hereinafter the

More information

Computer Systems Laboratory Sungkyunkwan University

Computer Systems Laboratory Sungkyunkwan University DRAMs Jin-Soo Kim (jinsookim@skku.edu) Computer Systems Laboratory Sungkyunkwan University http://csl.skku.edu Main Memory & Caches Use DRAMs for main memory Fixed width (e.g., 1 word) Connected by fixed-width

More information

7 Series FPGAs Memory Interface Solutions (v1.9)

7 Series FPGAs Memory Interface Solutions (v1.9) 7 Series FPGAs Memory Interface Solutions (v1.9) DS176 March 20, 2013 Introduction The Xilinx 7 series FPGAs memory interface solutions cores provide high-performance connections to DDR3 and DDR2 SDRAMs,

More information

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family

Enabling success from the center of technology. A Practical Guide to Configuring the Spartan-3A Family A Practical Guide to Configuring the Spartan-3A Family Goals 2 Explain advantages and disadvantages of each configuration mechanism available for Spartan-3A Show how to use an industry standard flash for

More information

Field Programmable Gate Array (FPGA) Devices

Field Programmable Gate Array (FPGA) Devices Field Programmable Gate Array (FPGA) Devices 1 Contents Altera FPGAs and CPLDs CPLDs FPGAs with embedded processors ACEX FPGAs Cyclone I,II FPGAs APEX FPGAs Stratix FPGAs Stratix II,III FPGAs Xilinx FPGAs

More information

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD

4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD 4GB Unbuffered VLP DDR3 SDRAM DIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition 78.B1GE3.AFF0C 12.8GB/sec 1600Mbps

More information

April 7, 2010 Data Sheet Version: v4.00

April 7, 2010 Data Sheet Version: v4.00 logimem SDR/DDR/DDR2 SDRAM Memory Controller April 7, 2010 Data Sheet Version: v4.00 Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: support@logicbricks.com

More information

2GB DDR3 SDRAM SODIMM with SPD

2GB DDR3 SDRAM SODIMM with SPD 2GB DDR3 SDRAM SODIMM with SPD Ordering Information Part Number Bandwidth Speed Grade Max Frequency CAS Latency Density Organization Component Composition Number of Rank 78.A2GC6.AF1 10.6GB/sec 1333Mbps

More information

COSC 6385 Computer Architecture - Memory Hierarchies (III)

COSC 6385 Computer Architecture - Memory Hierarchies (III) COSC 6385 Computer Architecture - Memory Hierarchies (III) Edgar Gabriel Spring 2014 Memory Technology Performance metrics Latency problems handled through caches Bandwidth main concern for main memory

More information

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164

ISSN: [Bilani* et al.,7(2): February, 2018] Impact Factor: 5.164 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A REVIEWARTICLE OF SDRAM DESIGN WITH NECESSARY CRITERIA OF DDR CONTROLLER Sushmita Bilani *1 & Mr. Sujeet Mishra 2 *1 M.Tech Student

More information

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's)

Gate Estimate. Practical (60% util)* (1000's) Max (100% util)* (1000's) The Product Brief October 07 Ver. 1.3 Group DN9000K10PCIe-4GL XilinxVirtex-5 Based ASIC Prototyping Engine, 4-lane PCI Express (Genesys Logic PHYs) Features PCI Express (4-lane) logic prototyping system

More information

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner

RiceNIC. Prototyping Network Interfaces. Jeffrey Shafer Scott Rixner RiceNIC Prototyping Network Interfaces Jeffrey Shafer Scott Rixner RiceNIC Overview Gigabit Ethernet Network Interface Card RiceNIC - Prototyping Network Interfaces 2 RiceNIC Overview Reconfigurable and

More information

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA

Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Achieving Breakthrough Performance with Virtex-4, the World s Fastest FPGA Xilinx 90nm Design Seminar Series: Part I Xilinx - #1 in 90 nm We Asked our Customers: What are your challenges? Shorter design

More information

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items

Core Facts. Documentation Design File Formats. Verification Instantiation Templates Reference Designs & Application Notes Additional Items (ULFFT) November 3, 2008 Product Specification Dillon Engineering, Inc. 4974 Lincoln Drive Edina, MN USA, 55436 Phone: 952.836.2413 Fax: 952.927.6514 E-mail: info@dilloneng.com URL: www.dilloneng.com Core

More information

Design and Implementation of High Performance DDR3 SDRAM controller

Design and Implementation of High Performance DDR3 SDRAM controller Design and Implementation of High Performance DDR3 SDRAM controller Mrs. Komala M 1 Suvarna D 2 Dr K. R. Nataraj 3 Research Scholar PG Student(M.Tech) HOD, Dept. of ECE Jain University, Bangalore SJBIT,Bangalore

More information

Making Informed Memory Choices FTF-IND-F0378

Making Informed Memory Choices FTF-IND-F0378 Making Informed Memory Choices FTF-IND-F0378 Freescale Technology Forum Jim Cooke JCooke@micron.com Mike Kim MikeKim@micron.com April 10, 2014 2014 Micron Technology, Inc. All rights reserved. Products

More information

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. -

DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General Description. Features. August 29, 2014, Rev. - August 29, 2014, Rev. - DO-254 AXI 7 Series DDRx (Limited) 1.00a Certifiable Data Package (DAL A) General The AXI 7 Series DDRx (Limited) DO-254 Certifiable Data Package is made up of the artifacts produced

More information

Memory Challenges. Issues & challenges in memory design: Cost Performance Power Scalability

Memory Challenges. Issues & challenges in memory design: Cost Performance Power Scalability Memory Devices 1 Memory Challenges Issues & challenges in memory design: Cost Performance Power Scalability 2 Memory - Overview Definitions: RAM random access memory DRAM dynamic RAM SRAM static RAM Volatile

More information

2GB DDR3 SDRAM 72bit SO-DIMM

2GB DDR3 SDRAM 72bit SO-DIMM 2GB 72bit SO-DIMM Speed Max CAS Component Number of Part Number Bandwidth Density Organization Grade Frequency Latency Composition Rank 78.A2GCF.AF10C 10.6GB/sec 1333Mbps 666MHz CL9 2GB 256Mx72 256Mx8

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 5: Zeshan Chishti DRAM Basics DRAM Evolution SDRAM-based Memory Systems Electrical and Computer Engineering Dept. Maseeh College of Engineering and Computer Science

More information

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB

DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB Features DDR2 SDRAM UDIMM MT8HTF12864AZ 1GB For component data sheets, refer to Micron's Web site: www.micron.com Figure 1: 240-Pin UDIMM (MO-237 R/C D) Features 240-pin, unbuffered dual in-line memory

More information

Memory Device Evolution

Memory Device Evolution Memory Device Evolution Cassino May 2008 Maurizio Di Zenzo Applications Lab Mgr Agenda Random access memories A quick comparison of technologies Details of external memory technologies Solutions for low

More information

ISSN Vol.05, Issue.12, December-2017, Pages:

ISSN Vol.05, Issue.12, December-2017, Pages: ISSN 2322-0929 Vol.05, Issue.12, December-2017, Pages:1174-1178 www.ijvdcs.org Design of High Speed DDR3 SDRAM Controller NETHAGANI KAMALAKAR 1, G. RAMESH 2 1 PG Scholar, Khammam Institute of Technology

More information

HLNAND: A New Standard for High Performance Flash Memory

HLNAND: A New Standard for High Performance Flash Memory HLNAND: A New Standard for High Performance Flash Memory Peter Gillingham MOSAID Technologies Inc. gillingham@mosaid.com August 2008 1 Objectives Address performance and density requirements of Solid State

More information

PMC-440 ProWare FPGA Module & ProWare Design Kit

PMC-440 ProWare FPGA Module & ProWare Design Kit PMC-440 ProWare FPGA Module & ProWare Design Kit FPGA I/O Interfacing and DSP Pre-Processing PMC Module and Design Kit Features Xilinx Virtex-II Pro TM Platform FPGA (XC2VP20 or XC2VP40) 64-bit, 66MHz

More information

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest

Designing with the Xilinx 7 Series PCIe Embedded Block. Tweet this event: #avtxfest Designing with the Xilinx 7 Series PCIe Embedded Block Follow @avnetxfest Tweet this event: #avtxfest www.facebook.com/xfest2012 Why Would This Presentation Matter to You? 2 If you are designing a PCIe

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0031 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90003-T0031 ISSUE DATE MODULE PART NO. : July-28-2011 : 78.02GC6.AF0 PCB PART NO. : 48.18220.090 IC Brand DESCRIPTION : Hynix : DDR3

More information

Virtex-6 FPGA Memory Interface Solutions

Virtex-6 FPGA Memory Interface Solutions Virtex-6 FPGA Memory Interface Solutions User Guide Xilinx is providing this product documentation, hereinafter Information, to you AS IS with no warranty of any kind, express or implied. Xilinx makes

More information

Multi-Port Memory Controller (MPMC) (v6.01.a)

Multi-Port Memory Controller (MPMC) (v6.01.a) Multi-Port Memory Controller (MPMC) (v6.01.a) DS643 July 23, 2010 Introduction MPMC is a fully parameterizable memory controller that supports SDRAM/DDR/DDR2/DDR3/LPDDR memory. MPMC provides access to

More information

Optimizing Your Memory Sub-System

Optimizing Your Memory Sub-System Optimizing Your Memory Sub-System AKA Choosing the right memories Design with Freescale Toronto Jim Cooke Ecosystems Enablement JCooke@micron.com Les Marentette FAE Manager LMarentette@tech-trek.com November

More information

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011

Features. DDR2 UDIMM w/o ECC Product Specification. Rev. 1.1 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 64), 1GB(128 Meg x 64), 2GB (256 Meg x 64) JEDEC

More information

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing

INSTITUTO SUPERIOR TÉCNICO. Architectures for Embedded Computing UNIVERSIDADE TÉCNICA DE LISBOA INSTITUTO SUPERIOR TÉCNICO Departamento de Engenharia Informática Architectures for Embedded Computing MEIC-A, MEIC-T, MERC Lecture Slides Version 3.0 - English Lecture 16

More information

The Memory Hierarchy 1

The Memory Hierarchy 1 The Memory Hierarchy 1 What is a cache? 2 What problem do caches solve? 3 Memory CPU Abstraction: Big array of bytes Memory memory 4 Performance vs 1980 Processor vs Memory Performance Memory is very slow

More information

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices

Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices Interfacing RLDRAM II with Stratix II, Stratix,& Stratix GX Devices November 2005, ver. 3.1 Application Note 325 Introduction Reduced latency DRAM II (RLDRAM II) is a DRAM-based point-to-point memory device

More information

ISE Design Suite Software Manuals and Help

ISE Design Suite Software Manuals and Help ISE Design Suite Software Manuals and Help These documents support the Xilinx ISE Design Suite. Click a document title on the left to view a document, or click a design step in the following figure to

More information

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit)

IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) Product Specification Rev. 1.0 2015 IMM128M72D1SOD8AG (Die Revision F) 1GByte (128M x 72 Bit) 1GB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M72D1SOD8AG Version: Rev.

More information

DDR4 Memory Technology on HP Z Workstations

DDR4 Memory Technology on HP Z Workstations Technical white paper DDR4 Memory Technology on HP Z Workstations DDR4 is the latest memory technology available for main memory on mobile, desktops, workstations, and server computers. DDR stands for

More information

Introduction read-only memory random access memory

Introduction read-only memory random access memory Memory Interface Introduction Simple or complex, every microprocessorbased system has a memory system. Almost all systems contain two main types of memory: read-only memory (ROM) and random access memory

More information

Mainstream Computer System Components

Mainstream Computer System Components Mainstream Computer System Components Double Date Rate (DDR) SDRAM One channel = 8 bytes = 64 bits wide Current DDR3 SDRAM Example: PC3-12800 (DDR3-1600) 200 MHz (internal base chip clock) 8-way interleaved

More information

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero

Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Application Note: Embedded Processing XAPP935 (v1.1) June 7, 2007 R Reference System: PLB DDR2 with OPB Central DMA Author: James Lucero Abstract This reference system demonstrates the functionality of

More information

Multi-Port Memory Controller (MPMC) (v4.02.a)

Multi-Port Memory Controller (MPMC) (v4.02.a) 0 Multi-Port Memory Controller (MPMC) (v4.02.a) DS643 June 28, 2008 0 0 Introduction MPMC is a fully parameterizable memory controller that supports SDRAM/DDR/DDR2 memory. MPMC provides access to memory

More information

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual

Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual user s guide Avnet, Xilinx ATCA PICMG Design Kit Hardware Manual Avnet Design Services 1 of 18 Rev 1.0 12/15/2004 Table of Contents 1 Overview... 5 2 Jumpers... 6 3 Personality Module Mechanicals... 8

More information

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS

Basics DRAM ORGANIZATION. Storage element (capacitor) Data In/Out Buffers. Word Line. Bit Line. Switching element HIGH-SPEED MEMORY SYSTEMS Basics DRAM ORGANIZATION DRAM Word Line Bit Line Storage element (capacitor) In/Out Buffers Decoder Sense Amps... Bit Lines... Switching element Decoder... Word Lines... Memory Array Page 1 Basics BUS

More information

COMPUTER ARCHITECTURES

COMPUTER ARCHITECTURES COMPUTER ARCHITECTURES Random Access Memory Technologies Gábor Horváth BUTE Department of Networked Systems and Services ghorvath@hit.bme.hu Budapest, 2019. 02. 24. Department of Networked Systems and

More information

Synthesizable CIO DDR RLDRAM II Controller for Virtex-II Pro FPGAs Author: Rodrigo Angel

Synthesizable CIO DDR RLDRAM II Controller for Virtex-II Pro FPGAs Author: Rodrigo Angel XAPP771 (v1.0) June 13, 2005 Application Note: Virtex-II Pro Devices Synthesizable CIO DD LDAM II Controller for Virtex-II Pro FPGAs Author: odrigo Angel Summary This application note describes how to

More information

CENG3420 Lecture 08: Memory Organization

CENG3420 Lecture 08: Memory Organization CENG3420 Lecture 08: Memory Organization Bei Yu byu@cse.cuhk.edu.hk (Latest update: February 22, 2018) Spring 2018 1 / 48 Overview Introduction Random Access Memory (RAM) Interleaving Secondary Memory

More information

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011

Features. DDR2 UDIMM with ECC Product Specification. Rev. 1.2 Aug. 2011 Features 240pin, unbuffered dual in-line memory module (UDIMM) Error Check Correction (ECC) Support Fast data transfer rates: PC2-4200, PC3-5300, PC3-6400 Single or Dual rank 512MB (64Meg x 72), 1GB(128

More information

ECE7995 (4) Basics of Memory Hierarchy. [Adapted from Mary Jane Irwin s slides (PSU)]

ECE7995 (4) Basics of Memory Hierarchy. [Adapted from Mary Jane Irwin s slides (PSU)] ECE7995 (4) Basics of Memory Hierarchy [Adapted from Mary Jane Irwin s slides (PSU)] Major Components of a Computer Processor Devices Control Memory Input Datapath Output Performance Processor-Memory Performance

More information

Recap: Machine Organization

Recap: Machine Organization ECE232: Hardware Organization and Design Part 14: Hierarchy Chapter 5 (4 th edition), 7 (3 rd edition) http://www.ecs.umass.edu/ece/ece232/ Adapted from Computer Organization and Design, Patterson & Hennessy,

More information

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7)

VS133-S512 PDRB X DATA SHEET. Memory Module Part Number VS133-S512 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VS133-S512 (1/7) 1. Description 168pin Unbuffered DIMM PC133/CL=3 2. Module Specification Specification Capacity 512MByte Physical Bank(s) 1 Module Organization 64M

More information

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ

Spartan-6 and Virtex-6 FPGA Embedded Kit FAQ Spartan-6 and Virtex-6 FPGA FAQ February 5, 2009 Getting Started 1. Where can I purchase an Embedded kit? A: You can purchase your Spartan-6 and Virtex-6 FPGA Embedded kits online at: Spartan-6 FPGA :

More information

High-Performance, Lower-Power Memory Interfaces with UltraScale Architecture FPGAs

High-Performance, Lower-Power Memory Interfaces with UltraScale Architecture FPGAs White Paper: UltraScale FPGAs WP454 (v1.0) June 30, 2014 High-Performance, Lower-Power Memory Interfaces with UltraScale Architecture FPGAs By: Adrian Cosoroaba Xilinx UltraScale FPGAs, used in conjunction

More information

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20

Hardware Design. University of Pannonia Dept. Of Electrical Engineering and Information Systems. MicroBlaze v.8.10 / v.8.20 University of Pannonia Dept. Of Electrical Engineering and Information Systems Hardware Design MicroBlaze v.8.10 / v.8.20 Instructor: Zsolt Vörösházi, PhD. This material exempt per Department of Commerce

More information

CENG4480 Lecture 09: Memory 1

CENG4480 Lecture 09: Memory 1 CENG4480 Lecture 09: Memory 1 Bei Yu byu@cse.cuhk.edu.hk (Latest update: November 8, 2017) Fall 2017 1 / 37 Overview Introduction Memory Principle Random Access Memory (RAM) Non-Volatile Memory Conclusion

More information

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008

Virtex-4 PowerPC Example Design. UG434 (v1.2) January 17, 2008 Virtex-4 PowerPC Example Design R R 2007-2008 Xilinx, Inc. All Rights Reserved. XILINX, the Xilinx logo, and other designated brands included herein are trademarks of Xilinx, Inc. All other trademarks

More information

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit)

IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) Product Specification Rev. 2.0 2015 IMM64M64D1SOD16AG (Die Revision D) 512MByte (64M x 64 Bit) 512MB DDR Unbuffered SO-DIMM RoHS Compliant Product Product Specification 2.0 1 IMM64M64D1SOD16AG Version:

More information

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation

Mainstream Computer System Components CPU Core 2 GHz GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation Mainstream Computer System Components CPU Core 2 GHz - 3.0 GHz 4-way Superscaler (RISC or RISC-core (x86): Dynamic scheduling, Hardware speculation One core or multi-core (2-4) per chip Multiple FP, integer

More information

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site:

DDR SDRAM UDIMM. Draft 9/ 9/ MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: DDR SDRAM UDIMM MT18VDDT6472A 512MB 1 MT18VDDT12872A 1GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x72, ECC, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB

DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB DDR SDRAM UDIMM MT16VDDT6464A 512MB MT16VDDT12864A 1GB MT16VDDT25664A 2GB For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB, 2GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features

More information

Memories: Memory Technology

Memories: Memory Technology Memories: Memory Technology Z. Jerry Shi Assistant Professor of Computer Science and Engineering University of Connecticut * Slides adapted from Blumrich&Gschwind/ELE475 03, Peh/ELE475 * Memory Hierarchy

More information

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. :

APPROVAL SHEET. Apacer Technology Inc. Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVED NO. : T0026 PCB PART NO. : Apacer Technology Inc. CUSTOMER: 研華股份有限公司 APPROVAL SHEET APPROVED NO. : 90004-T0026 ISSUE DATE MODULE PART NO. : July-26-2012 : 78.A1GDR.AF00C PCB PART NO. : 48.16221.090 IC Brand DESCRIPTION : Hynix :

More information

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit)

IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) Product Specification Rev. 1.0 2015 IMM128M64D1DVD8AG (Die Revision F) 1GByte (128M x 64 Bit) 1GB DDR VLP Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM128M64D1DVD8AG Version: Rev.

More information

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC

Options. Data Rate (MT/s) CL = 3 CL = 2.5 CL = 2-40B PC PC PC DDR SDRAM UDIMM MT16VDDF6464A 512MB 1 MT16VDDF12864A 1GB 1 For component data sheets, refer to Micron s Web site: www.micron.com 512MB, 1GB (x64, DR) 184-Pin DDR SDRAM UDIMM Features Features 184-pin,

More information

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued)

Virtex-II Architecture. Virtex II technical, Design Solutions. Active Interconnect Technology (continued) Virtex-II Architecture SONET / SDH Virtex II technical, Design Solutions PCI-X PCI DCM Distri RAM 18Kb BRAM Multiplier LVDS FIFO Shift Registers BLVDS SDRAM QDR SRAM Backplane Rev 4 March 4th. 2002 J-L

More information

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended.

Structure of Computer Systems. advantage of low latency, read and write operations with auto-precharge are recommended. 148 advantage of low latency, read and write operations with auto-precharge are recommended. The MB81E161622 chip is targeted for small-scale systems. For that reason, the output buffer capacity has been

More information

CS311 Lecture 21: SRAM/DRAM/FLASH

CS311 Lecture 21: SRAM/DRAM/FLASH S 14 L21-1 2014 CS311 Lecture 21: SRAM/DRAM/FLASH DARM part based on ISCA 2002 tutorial DRAM: Architectures, Interfaces, and Systems by Bruce Jacob and David Wang Jangwoo Kim (POSTECH) Thomas Wenisch (University

More information

EECS150 - Digital Design Lecture 17 Memory 2

EECS150 - Digital Design Lecture 17 Memory 2 EECS150 - Digital Design Lecture 17 Memory 2 October 22, 2002 John Wawrzynek Fall 2002 EECS150 Lec17-mem2 Page 1 SDRAM Recap General Characteristics Optimized for high density and therefore low cost/bit

More information

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011

FPGA for Complex System Implementation. National Chiao Tung University Chun-Jen Tsai 04/14/2011 FPGA for Complex System Implementation National Chiao Tung University Chun-Jen Tsai 04/14/2011 About FPGA FPGA was invented by Ross Freeman in 1989 SRAM-based FPGA properties Standard parts Allowing multi-level

More information

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules.

XMC Products. High-Performance XMC FPGAs, XMC 10gB Ethernet, and XMC Carrier Cards. XMC FPGAs. FPGA Extension I/O Modules. E M B E D D E D C O M P U T I N G & I / O S O L U T I O N S XMC Products XMC FPGAs FPGA Extension I/O Modules XMC 10gB Ethernet XMC Carrier Cards XMC Software Support High-Performance XMC FPGAs, XMC 10gB

More information

CS 261 Fall Mike Lam, Professor. Memory

CS 261 Fall Mike Lam, Professor. Memory CS 261 Fall 2016 Mike Lam, Professor Memory Topics Memory hierarchy overview Storage technologies SRAM DRAM PROM / flash Disk storage Tape and network storage I/O architecture Storage trends Latency comparisons

More information

SP605 MIG Design Creation

SP605 MIG Design Creation SP605 MIG Design Creation December 2009 Copyright 2009 Xilinx XTP060 Note: This presentation applies to the SP605 Overview Spartan-6 Memory Controller Block Xilinx SP605 Board Software Requirements SP605

More information

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit)

IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) Product Specification Rev. 1.0 2015 IMM64M72SDDUD8AG (Die Revision B) 512MByte (64M x 72 Bit) 512MB SDRAM ECC Unbuffered DIMM RoHS Compliant Product Product Specification 1.0 1 IMM64M72SDDUD8AG Version:

More information

APEX II The Complete I/O Solution

APEX II The Complete I/O Solution APEX II The Complete I/O Solution July 2002 Altera introduces the APEX II device family: highperformance, high-bandwidth programmable logic devices (PLDs) targeted towards emerging network communications

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

Core Facts. Documentation. Design File Formats. Simulation Tool Used Designed for interfacing configurable (32 or 64

Core Facts. Documentation. Design File Formats. Simulation Tool Used Designed for interfacing configurable (32 or 64 logilens Camera Lens Distortion Corrector March 5, 2009 Product Specification Xylon d.o.o. Fallerovo setaliste 22 10000 Zagreb, Croatia Phone: +385 1 368 00 26 Fax: +385 1 365 51 67 E-mail: info@logicbricks.com

More information

Memory technology and optimizations ( 2.3) Main Memory

Memory technology and optimizations ( 2.3) Main Memory Memory technology and optimizations ( 2.3) 47 Main Memory Performance of Main Memory: Latency: affects Cache Miss Penalty» Access Time: time between request and word arrival» Cycle Time: minimum time between

More information

Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs

Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs White Paper: Virtex-7 and Kintex-7 Families WP383 (v1.1) August 14, 2012 Achieving High Performance DDR3 Data Rates in Virtex-7 and Kintex-7 FPGAs By: Adrian Cosoroaba FPGA-based systems frequently require

More information

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved.

Internal Memory. Computer Architecture. Outline. Memory Hierarchy. Semiconductor Memory Types. Copyright 2000 N. AYDIN. All rights reserved. Computer Architecture Prof. Dr. Nizamettin AYDIN naydin@yildiz.edu.tr nizamettinaydin@gmail.com Internal Memory http://www.yildiz.edu.tr/~naydin 1 2 Outline Semiconductor main memory Random Access Memory

More information

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications

Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Design and VLSI Implementation of DDR SDRAM Controller for High Speed Applications Deepali Sharma # Shruti bhargava # Mahendra Vucha * # Dept. of ECE, TIT, Bhopal, India. * Research Fellow, MANIT, Bhopal

More information

Lecture 18: DRAM Technologies

Lecture 18: DRAM Technologies Lecture 18: DRAM Technologies Last Time: Cache and Virtual Memory Review Today DRAM organization or, why is DRAM so slow??? Lecture 18 1 Main Memory = DRAM Lecture 18 2 Basic DRAM Architecture Lecture

More information

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj

SECURE PARTIAL RECONFIGURATION OF FPGAs. Amir S. Zeineddini Kris Gaj SECURE PARTIAL RECONFIGURATION OF FPGAs Amir S. Zeineddini Kris Gaj Outline FPGAs Security Our scheme Implementation approach Experimental results Conclusions FPGAs SECURITY SRAM FPGA Security Designer/Vendor

More information

LogiCORE IP AXI Video Direct Memory Access v5.00.a

LogiCORE IP AXI Video Direct Memory Access v5.00.a LogiCORE IP AXI Video Direct Memory Access v5.00.a Product Guide Table of Contents Chapter 1: Overview Feature Summary............................................................ 9 Applications................................................................

More information

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014

Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 Speedster22i DDR3 Controller User Guide UG031 Nov 18, 2014 UG031, Nov 18, 2014 1 Copyright Info Copyright 2014 Achronix Semiconductor Corporation. All rights reserved. Achronix is a trademark and Speedster

More information

The Memory Component

The Memory Component The Computer Memory Chapter 6 forms the first of a two chapter sequence on computer memory. Topics for this chapter include. 1. A functional description of primary computer memory, sometimes called by

More information

Adapter Modules for FlexRIO

Adapter Modules for FlexRIO Adapter Modules for FlexRIO Ravichandran Raghavan Technical Marketing Engineer National Instruments FlexRIO LabVIEW FPGA-Enabled Instrumentation 2 NI FlexRIO System Architecture PXI/PXIe NI FlexRIO Adapter

More information

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site:

DDR SDRAM SODIMM MT8VDDT1664H 128MB 1. MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: SODIMM MT8VDDT1664H 128MB 1 128MB, 256MB, 512MB (x64, SR) 200-Pin SODIMM Features MT8VDDT3264H 256MB 2 MT8VDDT6464H 512MB For component data sheets, refer to Micron s Web site: www.micron.com Features

More information

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site:

DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: DDR2 SDRAM UDIMM MT16HTF25664AZ 2GB MT16HTF51264AZ 4GB For component data sheets, refer to Micron s Web site: www.micron.com 2GB, 4GB (x64, DR): 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com

FlexRIO. FPGAs Bringing Custom Functionality to Instruments. Ravichandran Raghavan Technical Marketing Engineer. ni.com FlexRIO FPGAs Bringing Custom Functionality to Instruments Ravichandran Raghavan Technical Marketing Engineer Electrical Test Today Acquire, Transfer, Post-Process Paradigm Fixed- Functionality Triggers

More information

8. Migrating Stratix II Device Resources to HardCopy II Devices

8. Migrating Stratix II Device Resources to HardCopy II Devices 8. Migrating Stratix II Device Resources to HardCopy II Devices H51024-1.3 Introduction Altera HardCopy II devices and Stratix II devices are both manufactured on a 1.2-V, 90-nm process technology and

More information

A+ Certification Guide. Chapter 5 Random Access Memory

A+ Certification Guide. Chapter 5 Random Access Memory A+ Certification Guide Chapter 5 Random Access Memory Chapter 5 Objectives RAM Basics: Describe what RAM does, how it works, and how it relates to the system. RAM Types: Describe types of RAM available,

More information

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM

Overview. Memory Classification Read-Only Memory (ROM) Random Access Memory (RAM) Functional Behavior of RAM. Implementing Static RAM Memories Overview Memory Classification Read-Only Memory (ROM) Types of ROM PROM, EPROM, E 2 PROM Flash ROMs (Compact Flash, Secure Digital, Memory Stick) Random Access Memory (RAM) Types of RAM Static

More information

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM.

DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB. Features. 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM. DDR2 SDRAM UDIMM MT4HTF1664AY 128MB MT4HTF3264AY 256MB MT4HTF6464AY 512MB 128MB, 256MB, 512MB (x64, SR) 240-Pin DDR2 SDRAM UDIMM Features Features 240-pin, unbuffered dual in-line memory module (UDIMM)

More information

440GX Application Note

440GX Application Note DDR Memory and the PowerPC 440GP/GX DDR SRAM Controller January 22, 2008 Abstract DDR (double data rate) memory is very similar to existing SDRAM (synchronous dynamic random access memory) in many ways,

More information

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7)

VNR133-D128 PDRB X DATA SHEET. Memory Module Part Number VNR133-D128 BUFFALO INC. (1/7) DATA SHEET Memory Module Part Number VNR133-D128 (1/7) 1. Description 144pin Unbuffered SO-DIMM PC133/CL=3 2. Module Specification Specification Capacity 128MByte Physical Bank(s) 2 Module Organization

More information

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 2. Memory Hierarchy Design. Copyright 2012, Elsevier Inc. All rights reserved.

Computer Architecture A Quantitative Approach, Fifth Edition. Chapter 2. Memory Hierarchy Design. Copyright 2012, Elsevier Inc. All rights reserved. Computer Architecture A Quantitative Approach, Fifth Edition Chapter 2 Memory Hierarchy Design 1 Introduction Programmers want unlimited amounts of memory with low latency Fast memory technology is more

More information

EEM 486: Computer Architecture. Lecture 9. Memory

EEM 486: Computer Architecture. Lecture 9. Memory EEM 486: Computer Architecture Lecture 9 Memory The Big Picture Designing a Multiple Clock Cycle Datapath Processor Control Memory Input Datapath Output The following slides belong to Prof. Onur Mutlu

More information