MMstm32F107. User Guide. Minimodule. with ARM. microcontroller and Ethernet REV 1.0. Many ideas one solution

Size: px
Start display at page:

Download "MMstm32F107. User Guide. Minimodule. with ARM. microcontroller and Ethernet REV 1.0. Many ideas one solution"

Transcription

1 Minimodule MMstmF0 with ARM REV.0 User Guide microcontroller and Ethernet Evalu ation Board s for, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules for microcontrollers, etherdesigning Evaluation Boards for net controllers, RFID High Spe- ed In System programmers for AVR, PIC, ST microcontrollers Microprocesor systems, PCB, AVR, ST, PIC microcontrollers Starter Kits Embedded Web Servers Prototyping Boards mi- nimodules for microcontrollers, ethernet controllers, RFID High Speed In Systems programmerocontrollers Starter Kits rs for AVR, PIC, ST microcontrlollers Microprocesor systems, PCB designing Evaluation Boards for `, AVR, ST, PIC mic- Embe- dded Web Serwers Prototyping Boards Minimodules for microcontrollercontrollers, ethernet controllers, High Speed In System program- mers for AVR, PIC, ST microco- Microprocesor R Many ideas one solution Systems, PCB Designing Evaluation Boards

2 Contents INTRODUCTION... FEATURES.... THE MODULE... BLOCK DIAGRAM... TERMINALS LAYOUT... STMF0 MICROCONTROLLER... ETHERNET PHY... USB INTERFACE... CAN INTERFACE... 9 RS INTERFACES... 9 MICROSD MEMORY CARD SOCKET... 0 CORTEX DEBUG CONNECTOR... 0 POWER SUPPLY... EVALUATION BOARD... EXAMPLE SOFTWARE... PROGRAMMING FLASH MEMORY OF STMF0... PROGRAMMING THROUGH RS INTERFACE... PROGRAMMING THROUGH USB INTERFACE... SPECIFICATIONS... TECHNICAL SUPPORT... GUARANTEE... ASSEMBLY DRAWINGS... 9 DIMENSIONS SCHEMATICS... 0

3 Introduction MMstmF0 is universal minimodule for the STMF0 microcontrollers from ST Microelectronics. This microcontroller is available in the LQFP00 case which is difficult to solder in prototype and amateur circuits due to the compactness of pins. We have undertaken an attempt at placing it on a board of xmm with a layout of leads which matches the commonly available prototype circuit boards and to EVBmmTm evaluation board. In addition, we have included Ethernet PHY 0/00Mb with RJ connector and magnetics, USB connector and socket for microsd memory card. All ports and signals of the microcontroller (except that used for Ethernet PHY) are lead out by means of two-row pin connectors with 0. (.mm) pitch. This minimodule is not only an adapter but a complete main board for STMF0 microcontroller. It needs only a connection to the supply voltage and we can start loading kbytes of Flash memory. Thanks to built-in bootloader, there is even no programmer necessary. Through integration of the peripherals with the microcontrollers on one board, the application of this module can lead to a shorter design period and facilitate the construction of systems based on ARM microcontrollers, by eliminating the need to design the printed board. The module is supplied with example software. The MMstmF0 minimodule can be also used in didactic laboratories of informatics colleges and universities, and can be also used to build circuits realizing thesis projects. Features MMstmF0 minimodule: Complete, ready to use microprocessor system Fast ARM STMF0 microcontroller with up to 90DMIPS throughput Up to kb Flash memory and up to kb RAM Onboard 0/00Mbps Ethernet PHY and RJ connector with embedded magnetics USB mini B connector microsd memory card socket JTAG/SWD for in system programming and debugging Built-in MHz system clock resonator Built-in.kHz RTC resonator Possibility to mount backup battery for RTC Onboard LED diodes for indicating Power supply, Ethernet connection status, USB status, and one user LED Module supply voltage: V x 0 terminals with 0." (.mm) pitch fitting every prototype board Small dimensions: mm x mm Available evaluation board and sample applications

4 . The module Block diagram A block diagram of MMstmF0 minimodule is shown on the image below: RJ Ethernet PWR FLASH ACT LINK J J Batt STMF0 khz 0MHz PHY DP DEBUG MHz USB PWR USB OVC Figure Block diagram of MMstmF0 minimodule. Minimodule can be ordered in different configurations with use of selector: MMstmF0 a b c Flash size: kb kb Ethernet connector: 0 without RJ with RJ Battery socket: 0 not mounted mounted For example: MMstmF0--0- minimodule with kb Flash MCU, without battery socket, with RJ connector.

5 Terminals layout Figure Terminals layout top view. Detailed description of ports can be found in microcontroller datasheets.

6 STMF0 microcontroller -bit ARM Cortex-M core clocked up to MHz or kb in system programmable FLASH memory or kb general purpose SRAM memory kb Ethernet SRAM memory.kb USB SRAM memory In system programmable via JTAG, SDW, USB, RS or CAN -channel DMA controller Up to four -bit timers, each with up to IC/OC/PWM or pulse counter -bit motor control PWM timer with dead-time generation and emergency stop Ethernet MAC USB.0 device/host/otg (full speed) CAN controller UART interfaces Two IC-bus interfaces Three SPI interfaces IS interface SD/MMC interface Two -bit AD converters ( channels) Two -bit DA converters Up to 0 fast I/O ports with V tolerance Advanced interrupt controller Low Power modes RTC with battery backup Single supply voltage.0 -.V JTAG and SWD interfaces More info about STMF0 can be found at manufacturer site:

7 9 Ethernet PHY Minimodule is equipped with DP Ethernet PHY and RJ connector with integrated magnetics. DP features: 0/00 Mb/s operation Auto-MDIX IEEE 0.u Auto-Negotiation and Parallel Detection IEEE 0.u ENDEC, 0BASE-T transceivers and filters IEEE 0.u PCS, 00BASE-TX transceivers and filters Low power consumption < 0mW typical Low power modes Optimized for cable length performance far exceeding IEEE specifications U DP P.0/ENET_TXD0 TXD_0 P./ENET_TXD TXD_ TXD_ TXD_/SNI_MODE P./ENET_TX_EN TX_EN TX_CLK P.9/ENET_RXD0 RXD_0/PHYAD P.0/ENET_RXD RXD_/PHYAD RXD_/PHYAD RXD_/PHYAD P./ENET_CRS 0 CRS/CRS_DV/LED_CFG RX_CLK P./ENET_RX_ER RX_ER/MDIX_EN COL/PHYAD0 P./ENET_MDIO 0 MDIO P./ENET_MDC MDC #RESET 9 RESET_N MHz_OUT PWR_DOWN/INT PWR_DOWN/INT 9 RX_DV/MII_MODE R0 R 0k k IOVDD IOVDD IO IO D AVDD A 9 A PFBOUT PFBIN PFBIN TD+ TD- RD+ RD- RBIAS + C C9 C0 C C 0u/V 00nF 00nF 00nF 00nF C L BLMHG0SND 00nF L MII_AVDD BLMHG0SND + C 0u/V J JFM0-00T SHIELD MII_AVDD TD- TD- CT: R R C 00nF TCT 9.9R 9.9R TX+ TX- TD+ TD+ RD- RD- CT: RX+ RX- RCT LED_ACT/COM/AN_EN LED_LINK/AN0 LED_SPEED/AN X X TCK TDO 9 0 TMS TRST# TDI R k RESERVED 0 RESERVED R k R.k % RD+ RD+ R R 9.9R 9.9R LED_ACT MII_AVDD LED_LINK C 00nF Yellow Green 0 R k R 0R R9 k D R 0R R k D J J X OE VCC C OUT 00nF CXO 0MHz LED YELLOW ACT LED GREEN LINK R 0R R 0R P./ENET_REF_CLK Figure Implementation of Ethernet interface in F0. DP documentation can be found on manufacturer site: Module can be also ordered without RJ connector, with gold pin connector mounted instead.

8 USB interface STMF0 microcontroller have built-in USB.0 full-speed interface, which is capable of working as Host, Device or in OTG mode. Module is equipped with UBS mini B connector, power switching circuit (for Host mode) and LED diodes showing interface s power state. U (STMPSSTR) is power switch providing voltage supply to USB connector, it is controlled by MSU s PC9 pin (active low). Additionally is has short-circuit protection disconnecting power from USB connector. Fault condition is indicated by low logic level on MCU s PE pin and red LED diode USB OVC. If power switch will not be used, it can be disconnected by desoldering R and R resistors, what will make PC9 and PE pins available to other use. Thanks to D9 module can be also powered from USB connector. Presence of power supply voltage in USB connector (either from external source or from U switch) is signaled by red diode USB PWR. Schematics of module s USB circuit is show below. R 0R D9 SB +V R 0k D LED GREEN USB PWR Q BC R 0R VBUS C.uF U STMPSSTR IN OUT EN FAULT +V R 0k R 0k D LED RED USB FAULT R R 0R 0R PC9/USB_POWER_SW PE/USB_OVC USB OTG J Vbus D- D+ ID SH SH SH SH 9 R R9 R0 R 0R R R 0R PA9/USB_VBUS PA/USB_DM PA/USB_DP PA0/USB_ID USB B mini Connector Figure Implementation of USB interface.

9 CAN interface MMstmF0 microcontrollers have on chip two CAN interfaces, compatible with CAN.0 A and B specifications. To connect MMstmF0 minimodule to CAN bus additional circuit CAN bus driver. Example of such circuit is shown below. 0K HIGH SLOPE STMF0 CAN CAN CAN H CAN L 0R TERM. RS D CANH CANL VCC Vref R SNHVD0 +.V J J 9 PD/CAN_TX PD0/CAN_RX PB/CAN_TX PB/CAN_RX CAN CAN MMstmF0 module Figure Connection of MMstmF0 to CAN bus RS interfaces STMF0 microcontroller has five USART ports which can be used to connect the minimodule with a PC computer other equipment equipped with a RS- port. Such a connection requires a level converter based on a ST or similar IC, connected to the TX and RX lines. Drawings below show example use of USART port. RS- DB9F 9 +V 00n 00n V+ V- T OUT T OUT R IN R IN VCC C+ C- C+ C- T IN T IN R OUT R OUT ST n 00n PA9/USART_TX PA0/USART_RX PA/USART_CTS PA/USART_RTS PA0/USART_CTS PA/USART_RTS PA/USART_TX PA/USART_RX PB0/USART_TX PB/USART_RX PB/USART_CTS PB/USART_RTS PC0/USART_TX PC/USART_RX PC/USART_TX PD/USART_RX STMF0 USART USART USART USART USART MMstmF0 module Figure Example of UART0 use as DCE. 9

10 microsd memory card socket Minimodule is equipped with microsd memory card socket, connected to microcontroller s SPI port (pins PA, PC, PC0, PC). Additionally, to PE0 is connected signal informing by low logic level that card is present in the socket. microsd J microsd DAT [NC] CD/DAT [CS] CMD [DI] VCC CLK DAT0 [DO] DAT [NC] 9 INS 0 INS INSERTED R0 0k PA/MICROSD_CS PC/MICROSD_MOSI PC0/MICROSD_SCK PC/MICROSD_MISO PE0/MICROSD_DETECT 0 0 Figure microsd connector. Cortex Debug connector Module is equipped with new standard of debugging/programming connector defined by ARM, called Cortex Debug Connector. It is a 0-pin (x) goldpin connector with.mm pitch with small footprint on PCB. VCC NC Detect J 9 0 MHDRX Cortex Debug Connector TMS/SWDIO TCK/SWDCLK TDO/SWO TDI/NC nreset R 0k R 0k R 0k R 0k PA/TMS/SWDIO PA/TCK/SWDCLK PB/TDO/SWO PA/TDI #RESET Figure Cortex Debug connector. Figure 9 Pinout of Cortex Debug connector. 0

11 Power supply MMstmF0 module require regulated V power supply with output current at least 00mA (when USB Hot function with capability of powering connected devices is not used) or 00mA (if this function is used). Module current draw is depended on many factors: CPU core and buses frequency, used peripherals, Ethernet controller activity, microsd activity etc. There are many possibilities to reduce power consumption thanks to microcontroller and PHY low power modes. Power supply should be connected to pins (+V) and () of J connector. Module s built in power supply is shown below: D LL U SPX90M-. VIN VOUT + C 0u/V TAB + C 0u/V J Header 0X VBUS +V J Header 0X VBAT Figure 0 Module s power supply circuit. Module can be also powered from USB connector more on this subject in USB interface section.

12 Evaluation Board In order to facilitate the design of equipment using the minimodule, an evaluation board has been prepared. It includes the following elements: Connector with all terminals of the minimodule Connectors of all peripherals accessible on board JTAG connector for in system programming and debugging Voltage regulators (+V and +,V) Possibility supply with USB Port Power switch switches and LED diodes Buzzer potentiometers IRDA port USB Device and USB Host ports Two ports RS with LEDs Codec Audio CAN Interface -WIRE connector SD/MMC card slot Alphanumeric LCD connector Graphic LCD connector More info can be found on page: Figure EVBmmTm evaluation board.

13 Example software MCU manufacturer s site contain many example applications showing how to handle different peripherals: You can find there among others: Implementation of RC infrared remote control receiver ADC handling Sample WWW server Handling of serial ports, IC, SPI Handling of USB port in Device and OTG modes Module is delivered with programmed sample application implementing Simple WWW server. Sources of this application can be found on our site: To quickly test bought module: Connect module to local Ethernet Network, or directly to PC with straight or crossed cable Connect module to PC with USB cable to power up module Visit www page at address On this page you can read voltage at ADC input (PC, J-9), and control four outputs, to whom you may connect e.g. LED diodes (LED: PD, J-; LED: PD, J-0; LED: PD, J-9, LED: PD, J-) Figure WWW page Server by module.

14 Programming Flash memory of STMF0 Flash memory of STMF0 microcontroller can be programmed in two ways: using JTAG/SWD interface or through bootloader. In this documentation, second method, not requiring any special programming hardware, will be described. Bootloader program is stored in internal ROM memory and allow to program Flash memory through interfaces: USB, RS and CAN. To enable bootloader, during reset BOOT0 pin (connector J, pin ) should be connected to high logic level and BOOT to low logic level (BOOT have pull-down resistor, so it can be left unconnected). Boot mode selection pins BOOT (PB) BOOT0 Boot mode X 0 Flash 0 ROM (bootloader) RAM Table MCU s boot modes. Programming through RS interface To program processor through RS, its USART port should be connected to PC using level translator, e.g. shown below: +.V RS- 9 00n 00n TX0 RX0 VCC V+ V- C+ C- C+ C n 00n PD/USART_TX PD/USART_RX MAXCSE 00n DB9F Figure RS level translator. Pins RS of USART (PA0) and CAN (PB) should be connected to steady logic state, USB interface should be not connected. PC should have installed Flash Loader Demonstrator application from ST: %0PC%0&url= After launching this application (Start -> Programs -> STMicroelectronics -> Flash Loader Demonstrator -> Flash Loader Demo) appropriate COM port should be selected (another options can be left unchanged), then you can three times click Next button to go through subsequent windows:

15 Figure First window of Flash Loader Demonstrator application. Figure Second window of Flash Loader Demonstrator application.

16 Figure Third window of Flash Loader Demonstrator application. In next window select Download to device and choose file to program: Figure Fourth window of Flash Loader Demonstrator application.

17 After clicking Next processor will be programmed: Figure Fifth window of Flash Loader Demonstrator application. Detailed documentation can be found in document: %0PC%0&url= Programming through USB interface Processor can also be programmed through USB interface. To do this use DfuSe application from ST: %0PC%0&url= Application documentation: %0PC%0&url=

18 Specifications Microcontroller STMF0 Program memory do kb Data memory do kb Memory card socket Micro SD No. of digital I/O do No. of analog inputs do No. of analog outputs Do Ethernet 0/00 Mb/s Auto-MDIX, onboard RJ Power supply V Power consumption 00mA Dimensions xmm Weight ok. 00g Operating temperature range 0 0ºC Humidity 9% Connectors Double x0 header Ethernet RJ USB Cortex Debug Connector Technical support In order to obtain technical assistance please contact support@propox.com. In the request please include the following information: number of the module version (e.g. REV ) setting of resistors a detailed description of the problem Guarantee The MMstmF0 minimodule is covered by a six-month guarantee. All faults and defects not caused by the user will be removed at the Producer s cost. Transportation costs are borne by the buyer. The Producer takes no responsibility for any damage and defects caused in the course of using the MMstmF0 module.

19 Assembly drawings Figure 9 Assembly drawing top layer. Figure 0 Assembly drawing bottom layer. 9

20 Dimensions Figure Dimensions top view. Figure Dimensions side view. 9 Schematics 0

21 Battery Socket Sheet of Size: File: Rev: Date: Title: MMstmF0 BT V CR0 PE PE PE PE PE PE PE PE9 PE0 PE PE PE PE PE PC0 PC PC PC PC PC PC R0 0R C 0pF C 0pF PC/OSC_IN PC/OSC_OUT PA0 PA PA PA PA PA PB0 PB PB/BOOT PB PB PB PB PB PB9 PB0 PB PB PD0 PD PD PD PD PD PD PD PD PD PD PD PD X MHz X.kHz PC/MICROSD_MISO PC0/MICROSD_SCK PC/MICROSD_MOSI PA/MICROSD_CS PE0/MICROSD_DETECT PA9/USB_VBUS PA/USB_DM PA/USB_DP PA0/USB_ID PC9/USB_POWER_SW PE/USB_OVC PA/TCK/SWDCLK PA/TMS/SWDIO PB/TDO/SWO PA/TDI #RESET PB/RMII_TXD0 PB/RMII_TXD PB/RMII_TX_EN PD/RMII_CRS PD9/RMII_RXD0 PD0/RMII_RXD PC/RMII_MDC PA/RMII_MDIO PA/RMII_REF_CLK R 0k C 00nF C 00nF C 00nF C 00nF C 00nF C9 00nF C uf L BLM VREF+ R9 0R C 00nF R 0k PE PE PE PE PE PC-ANTI_TAMP PC-OSC_IN PC-OSC_OUT 9 OSC_IN OSC_OUT NRST PC0 PC PC PC PA0-WKUP PA PA PA PA 9 PA 0 PA PA PC PC PB0 PB PB PE PE 9 PE9 0 PE0 PE PE PE PE PE PB0 PB PB PB PB PB PD PD9 PD0 PD PD 9 PD 0 PD PD PC PC PC PC9 PA PA9 PA0 9 PA 0 PA PA NC PA PA PC0 PC 9 PC 0 PD0 PD PD PD PD PD PD PD PB 9 PB 90 PB 9 PB 9 PB 9 BOOT0 9 PB 9 PB9 9 PE0 9 PE 9 VBAT VSS_ 0 VDD_ VSSA 9 VREF- 0 VREF+ VDDA VSS_ VDD_ VSS_ 9 VDD_ 0 VSS_ VDD_ VSS_ 99 VDD_ 00 U STMF0Vx BOOT0 R 0k C pf C0 pf C 00nF R 0k VBAT D LL PC PC C uf

22 USB OTG microsd J microsd DAT [NC] CD/DAT [CS] CMD [DI] VCC CLK DAT0 [DO] DAT [NC] J INSERTED 0 0 Vbus D- D+ ID SH SH SH SH INS INS USB B mini Connector D9 SB VBUS R0 0k PA/MICROSD_CS PC/MICROSD_MOSI PC0/MICROSD_SCK PC/MICROSD_MISO PE0/MICROSD_DETECT +V R 0k C.uF R 0R D LED GREEN USB PWR Q BC U STMPSSTR IN OUT EN FAULT +V R 0k R 0k R 0R D LED RED USB FAULT R R R R9 R0 R 0R 0R 0R R R 0R PC9/USB_POWER_SW PE/USB_OVC PA9/USB_VBUS PA/USB_DM PA/USB_DP PA0/USB_ID +V PD R 0R D LED RED USR + C 0u/V Title: MMstmF0 R9 0R D LED GREEN PWR U SPX90M-. VIN VOUT D LL TAB + C 0u/V support@propox.com Size: File: Rev: Date: Sheet of

23 C 00nF MII_AVDD TX+ TX- C 00nF LED_ACT C 00nF C 00nF C9 00nF RX+ RX- R k R.k % R 9.9R R 9.9R R k L BLM Sheet of support@propox.com Size: File: Rev: R k R9 k R 0R R 0R LINK D LED GREEN ACT D LED YELLOW TD- TD+ RD+ RD- CT: CT: SHIELD Yellow Green 0 9 TCT TD+ TD- RD+ RD- RCT J JFM0-00T R 0R R 0R C 00nF C 00nF TX_CLK TX_EN TXD_0 TXD_ TXD_ TXD_/SNI_MODE PWR_DOWN/INT TCK TDO 9 TMS 0 TRST# TDI RD- RD+ A TD- TD+ PFBIN A 9 RESERVED 0 RESERVED AVDD PFBOUT RBIAS MHz_OUT LED_ACT/COM/AN_EN LED_SPEED/AN LED_LINK/AN0 RESET_N 9 MDIO 0 MDC IOVDD X X IO D PFBIN RX_CLK RX_DV/MII_MODE 9 CRS/CRS_DV/LED_CFG 0 RX_ER/MDIX_EN COL/PHYAD0 RXD_0/PHYAD RXD_/PHYAD RXD_/PHYAD RXD_/PHYAD IO IOVDD U DPCVV + C 0u/V R k R 9.9R R 9.9R PB/RMII_TXD0 PB/RMII_TXD PB/RMII_TX_EN PD/RMII_CRS PD9/RMII_RXD0 PD0/RMII_RXD PA/RMII_REF_CLK PC/RMII_MDC PA/RMII_MDIO L BLM + C 0u/V MII_AVDD LED_LINK #RESET PWR_DOWN/INT R0 k R k MII_AVDD J J VCC OE OUT X CXO 0MHz C0 00nF Date: Title: MMstmF0 R k NM

24 BOOT0 PB PB PB PE0/MICROSD_DETECT PE PE PE PC/OSC_IN PC0 PC PA0 PA/MICROSD_CS PA PC PB0 PB/BOOT PE PE0 J Header 0X PB/TDO/SWO PB PB PB9 PE/USB_OVC PE PE PC PC/OSC_OUT PC VREF+ PA PA PA PC PB PE PE9 #RESET VBUS +V PD PD PD PD PC/MICROSD_MOSI PC0/MICROSD_SCK PA/TCK/SWDCLK PA/USB_DP PA0/USB_ID PA PC PC PD PD PB PB0 PE PE J Header 0X VBAT PD PD PD PD0 PC/MICROSD_MISO PA/TDI PA/TMS/SWDIO PA/USB_DM PA9/USB_VBUS PC9/USB_POWER_SW PC PD PD PD PB PE PE PE VCC NC Detect J 9 0 MHDRX Cortex Debug Connector TMS/SWDIO TCK/SWDCLK TDO/SWO TDI/NC nreset R 0k R 0k R 0k R 0k PA/TMS/SWDIO PA/TCK/SWDCLK PB/TDO/SWO PA/TDI #RESET Title: MMstmF0 support@propox.com Size: File: Rev: Date: Sheet of

AK-STM32-ETH Development Board

AK-STM32-ETH Development Board AK-STM32-ETH Development Board Reference manual Copyright 2011 Artekit Italy All rights reserved Contents About this document... 3 Revision history... 3 Contact information... 3 Life support policy...

More information

FiO Lite Datasheet FEATURES SAMPLE APPLICATIONS. FiO Lite

FiO Lite Datasheet FEATURES SAMPLE APPLICATIONS. FiO Lite FiO Lite Datasheet FEATURES Built-in RapidSTM native-support bootloader. ARM -bits Cortex TM M Processor (STMF0R) - 0MIPS maximum speed - 0 KBytes SRAM - 5 GPIO - channels -bit, µs ADC - USART, SPI, I

More information

User Manual For CP-JR ARM7 USB-LPC2148 / EXP

User Manual For CP-JR ARM7 USB-LPC2148 / EXP CP-JR ARM7 USB-LPC2148 / EXP 38 CR-JR ARM7 USB-LPC2148 which is a Board Microcontroller ARM7TDMI-S Core uses Microcontroller 16/32-Bit 64 Pin as Low Power type to be a permanent MCU on board and uses MCU

More information

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP

DEVBOARD3 DATASHEET. 10Mbits Ethernet & SD card Development Board PIC18F67J60 MICROCHIP DEVBOARD3 DATASHEET 10Mbits Ethernet & SD card PIC18F67J60 MICROCHIP Version 1.0 - March 2009 DEVBOARD3 Version 1.0 March 2009 Page 1 of 7 The DEVBOARD3 is a proto-typing board used to quickly and easily

More information

XNUCLEO-F030R8, Improved STM32 NUCLEO Board

XNUCLEO-F030R8, Improved STM32 NUCLEO Board XNUCLEO-F030R8, Improved STM32 NUCLEO Board STM32 Development Board, Supports Arduino, Compatible with NUCLEO-F030R8 XNUCLEO-F030R8 Features Compatible with NUCLEO-F030R8, onboard Cortex-M0 microcontroller

More information

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available)

Part Number: PCB-STM32-F4B1 (unpopulated PCB with Discovery module sockets, no other parts) STM32-F4B1 (assembled board, not presently available) PCB-STM32-F4B1 Development baseboard for the STMicro Discovery-F4 module (STMicro part# STM32F4DISCOVERY) PCB Rev 1.00 shown. PCB Rev 1.20 has on-board RS232 drivers. Part Number: PCB-STM32-F4B1 (unpopulated

More information

EVBavr02 evaluation board for AVR microcontrollers ATtiny2313 i AT90S1200/2313. User`s manual REV 1.0. Many ideas one solution

EVBavr02 evaluation board for AVR microcontrollers ATtiny2313 i AT90S1200/2313. User`s manual REV 1.0. Many ideas one solution EVBavr0 evaluation board for AVR microcontrollers ATtiny i AT0S00/ REV.0 User`s manual Evalu ation Board s for, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules

More information

RDB1768 Development Board User Manual

RDB1768 Development Board User Manual RDB1768 Development Board User Manual 6/16/2009 Rev.2 Copyright Code Red Technologies Inc. 2009 Page 1 of 18 1 OVERVIEW 3 1.1 LPC1768 Features 3 1.2 RDB1768 Evaluation Board Hardware 3 2 COMPONENTS 5 2.1

More information

MMusb245RL User s manual. Many ideas one solution

MMusb245RL User s manual. Many ideas one solution MMusb245RL User s manual PIC microcontrollers Sta- Evalu ation Board s for 51, AVR, ST, rter Kits Embedded Web Serve rs Prototyping Boards Minimod- AVR, PIC, ST microcontrollers Microprocesor systems,

More information

CUORE/ELECTRONICS. UCLA (testing) USC (production) INFN-MIB (design, prototyping and validation)

CUORE/ELECTRONICS. UCLA (testing) USC (production) INFN-MIB (design, prototyping and validation) CUORE/ELECTRONICS UCLA (testing) Huan Z. Huang, Xiaohua Liu, Stephen Trentalange, Charles Whitten, Xiaoyu (Brian) Zhu. USC (production) Frank T. Avignone, Ray Edmonds, Carl Rosenfeld, Kevin Wilson. INFN-MIB

More information

[MG2420] MCU Module Datasheet. (No. ADS0705) V1.0

[MG2420] MCU Module Datasheet. (No. ADS0705) V1.0 [MG2420] MCU Module Datasheet (No. ADS0705) V1.0 REVISION HISTORY Version Date Description VER.1.0 2013.10.22 First version release. V1.0 Page:2/17 CONTENTS 1. INTRODUCTION... 4 1.1. DEFINITIONS... 4 2.

More information

ISPcable II. User s Guaid. Programer for AVR, AT89S and AT90S Atmel microcontrollers with serial interface. REV Beta 1.1. Many ideas one solution

ISPcable II. User s Guaid. Programer for AVR, AT89S and AT90S Atmel microcontrollers with serial interface. REV Beta 1.1. Many ideas one solution ISPcable II REV Beta. Programer for AVR, ATS and AT0S Atmel microcontrollers with serial interface. User s Guaid Evalu ation Board s for, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve

More information

EVBavr03 evaluation board for AVR microcontrollers AT90S4144/8515, ATmega8515 and ATmega162. User`s manual REV 1.0. Many ideas one solution

EVBavr03 evaluation board for AVR microcontrollers AT90S4144/8515, ATmega8515 and ATmega162. User`s manual REV 1.0. Many ideas one solution EVBavr0 evaluation board for AVR microcontrollers AT0S/, ATmega and ATmega. REV.0 User`s manual Evalu ation Board s for, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards

More information

CONTENTS BIGAVR2 KEY FEATURES 4 CONNECTING THE SYSTEM 5 INTRODUCTION 6

CONTENTS BIGAVR2 KEY FEATURES 4 CONNECTING THE SYSTEM 5 INTRODUCTION 6 CONTENTS BIGAVR2 KEY FEATURES 4 CONNECTING THE SYSTEM 5 INTRODUCTION 6 Switches 7 Jumpers 8 MCU Sockets 9 Power Supply 11 On-board USB 2.0 Programmer 12 Oscillator 14 LEDs 15 Reset Circuit 17 Push-buttons

More information

COM-RZN1D - Hardware Manual

COM-RZN1D - Hardware Manual COM-RZN1D - Hardware Manual Hardware Manual 4 / 01.10.2018 emtrion GmbH Copyright 2018 emtrion GmbH All rights reserved. This documentation may not be photocopied or recorded on any electronic media without

More information

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX

Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX Mega128-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The Mega128-Development board is designed for

More information

Freescale Semiconductor Inc. Microcontroller Solutions Group. FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0

Freescale Semiconductor Inc. Microcontroller Solutions Group. FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0 Freescale Semiconductor Inc. Microcontroller Solutions Group FRDM-KL46Z User s Manual FRDM-KL46Z-UM Rev. 1.0 Table of Contents 1 FRDM-KL46Z Overview... 3 2 References documents... 3 3 Getting started...

More information

Embest SOC8200 Single Board Computer

Embest SOC8200 Single Board Computer Embest SOC8200 Single Board Computer TI's AM3517 ARM Cortex A8 Microprocessors 600MHz ARM Cortex-A8 Core NEON SIMD Coprocessor POWERVR SGX Graphics Accelerator (AM3517 only) 16KB I-Cache, 16KB D-Cache,

More information

MMusb232. User s manual REV 1.0. Many ideas one solution

MMusb232. User s manual REV 1.0. Many ideas one solution MMusb REV.0 User s manual Evalu ation Board s for, AVR, ST, PIC microcontrollers Sta- rter Kits Embedded Web Serve rs Prototyping Boards Minimodules for microcontrollers, etherdesigning Evaluation Boards

More information

EMX Module Specifications

EMX Module Specifications EMX is a combination of hardware (ARM Processor, Flash, RAM, Ethernet PHY...etc) on a very small (1.55 x1.8 ) SMT OEM 8-Layer board that hosts Microsoft.NET Micro Framework with various PAL/HAL drivers.

More information

ACU6. Technical Reference Manual. Specifications Interfacing Dimensions. Document topics. ANSARI Controller Unit Type 6 technical reference manual

ACU6. Technical Reference Manual. Specifications Interfacing Dimensions. Document topics. ANSARI Controller Unit Type 6 technical reference manual ACU6 Technical Reference Manual ANSARI Controller Unit Type 6 technical reference manual Document topics Specifications Interfacing Dimensions Document Version: 1.03 13. January 2013 By ANSARI GmbH Friedrich-Ebert-Damm

More information

TO OUR VALUED CUSTOMERS

TO OUR VALUED CUSTOMERS mikroprog for STM32 mikroprog is a fast USB programmer with hardware debugger support. Smart engineering allows mikroprog to support all STM32 ARM Cortex -M3 and Cortex -M4 microcontrollers in a single

More information

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY)

PCB-STM32-F3U. Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) PCB-STM32-F3U Development baseboard for the STMicro Discovery-F3 module (STMicro part# STM32F3DISCOVERY) Part Number: PCB-STM32-F3U (unpopulated PCB with Discovery module sockets, no other parts) STM32-F3U

More information

UM1724 User manual. STM32 Nucleo boards. Introduction

UM1724 User manual. STM32 Nucleo boards. Introduction UM1724 User manual STM32 Nucleo boards Introduction The STM32 Nucleo board (NUCLEO-F030R8, NUCLEO-F072RB, NUCLEO-F091RC, NUCLEO-F103RB, NUCLEO-F302R8, NUCLEO-F303RE, NUCLEO-F334R8, NUCLEO- F401RE, NUCLEO-F411RE,

More information

XC164CS Prototype Board

XC164CS Prototype Board XC164CS Prototype Board Features: Small PCB (95 x 57 mm) with ground plane. o Designed to fit inside a Pac Tec FLX-4624 ABS enclosure Infineon XC164CS 16-bit single-chip microcontroller o 166SV2 core o

More information

Clicker 2 for Kinetis

Clicker 2 for Kinetis Page 1 of 6 Clicker 2 for Kinetis From MikroElektonika Documentation clicker 2 for Kinetis is a compact dev. kit with two mikrobus sockets for click board connectivity. You can use it to quickly build

More information

ARDUINO LEONARDO ETH Code: A000022

ARDUINO LEONARDO ETH Code: A000022 ARDUINO LEONARDO ETH Code: A000022 All the fun of a Leonardo, plus an Ethernet port to extend your project to the IoT world. You can control sensors and actuators via the internet as a client or server.

More information

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM

User Manual Rev. 0. Freescale Semiconductor Inc. FRDMKL02ZUM FRDM-KL02Z User Manual Rev. 0 Freescale Semiconductor Inc. FRDMKL02ZUM 1. Overview The Freescale Freedom development platform is an evaluation and development tool ideal for rapid prototyping of microcontroller-based

More information

Xynergy XS Motherboard Rev3 Documentation April 24, 2012

Xynergy XS Motherboard Rev3 Documentation April 24, 2012 Topic Page Overview 1 DIMM Socket 1 Power Supply 2 I/O Voltage Selection 3 I/O Connectors 3,4 I2C/SMBUS 5 RS232 5 Testing I/Os 6 SD-Card 6 USB2.0 OTG 7 Ethernet Interface 7 CAN Interfaces 8 JTAG Interfaces

More information

FRDM-KL03Z User s Guide

FRDM-KL03Z User s Guide Freescale Semiconductor User s Guide Document Number: FRDMKL03ZUG Rev. 0, 7/2014 FRDM-KL03Z User s Guide 1 Overview The Freescale Freedom development platform is an evaluation and development tool ideal

More information

Cortex-M3. Cortex-M3(STM32F103) Standard v3.0 Manual

Cortex-M3. Cortex-M3(STM32F103) Standard v3.0 Manual Cortex-M3 Cortex-M3(STM32F103) Standard v3.0 Manual 1. Features ST사의 STM32F103RET6( 시리즈최상위 ) 채용 - Core: ARM 32-bit Cortex -M3 CPU(72MHz, 1.25 DMIPS/MHz) - 512Kbytes of Flash memory, 64 Kbyte of SRAM -

More information

Espardino micro2142/8

Espardino micro2142/8 micro2142/8 board is an advanced ARM board based on the NXP 2142/8 USB microcontroller featuring 60 MIPS 64kB/512kB Flash space and 16kB/32kB of RAM space. MAIN FEATURES Integrated USB bootloader (8kB)

More information

ARDUINO YÚN MINI Code: A000108

ARDUINO YÚN MINI Code: A000108 ARDUINO YÚN MINI Code: A000108 The Arduino Yún Mini is a compact version of the Arduino YUN OVERVIEW: Arduino Yún Mini is a breadboard PCB developed with ATmega 32u4 MCU and QCA MIPS 24K SoC CPU operating

More information

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015

STM32F429 Overview. Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 STM32F429 Overview Steve Miller STMicroelectronics, MMS Applications Team October 26 th 2015 Today - STM32 portfolio positioning 2 More than 30 product lines High-performance 398 CoreMark 120 MHz 150 DMIPS

More information

UM2461 User manual. SPC584B-DIS Discovery Board. Introduction

UM2461 User manual. SPC584B-DIS Discovery Board. Introduction User manual SPC584B-DIS Discovery Board Introduction The SPC584B-DIS is a low-cost development board to evaluate and develop applications with the microcontroller SPC584B70E1 in etqfp 64-pin package. This

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB DDR2

More information

LPC1788 Mio Board. The functional details of the board are as follows-

LPC1788 Mio Board. The functional details of the board are as follows- INTRODUCTION : The LPC1788 Mio is based on Cortex M3 Core, running at up to 120MHz. The Mio lets you quickly start with your development on LPC1788 based designs. The functional details of the board are

More information

MYD-SAMA5D3X Development Board

MYD-SAMA5D3X Development Board MYD-SAMA5D3X Development Board MYC-SAMA5D3X CPU Module as Controller Board DDR2 SO-DIMM 200-pin Signals Consistent with Atmel's Official Board 536MHz Atmel SAMA5D3 Series ARM Cortex-A5 Processors 512MB

More information

2 in 1. EasyAVR4 User s Manual AVR. MikroElektronika. Software and Hardware solutions for Embedded World

2 in 1. EasyAVR4 User s Manual AVR. MikroElektronika. Software and Hardware solutions for Embedded World SOFTWARE AND HARDWARE SOLUTIONS FOR THE EMBEDDED WORLD - Books - Compilers User s Manual 2 in 1 2.0 IN-CIRCUIT PROGRAMMER ATMEL AVR DEVELOPMENT BOARD With useful implemented peripherals, plentiful practical

More information

ARDUINO M0 PRO Code: A000111

ARDUINO M0 PRO Code: A000111 ARDUINO M0 PRO Code: A000111 The Arduino M0 Pro is an Arduino M0 with a step by step debugger With the new Arduino M0 Pro board, the more creative individual will have the potential to create one s most

More information

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture

Kinetis K70 System-On-Module (SOM) Baseboard Hardware Architecture Kinetis K70 System-On-Module (SOM) Baseboard Version 1.0 Table of Contents 1. OVERVIEW...3 2. REFERENCES...3 3. HARDWARE PLATFORM...3 3.1. OVERVIEW...3 3.2. FUNCTIONAL BLOCK DIAGRAM...4 3.3. SOM CONNECTORS...4

More information

UM1724 User manual. STM32 Nucleo boards. Introduction

UM1724 User manual. STM32 Nucleo boards. Introduction User manual STM32 Nucleo boards Introduction The STM32 Nucleo board (NUCLEO-F030R8, NUCLEO-F070RB, NUCLEO-F072RB, NUCLEO-F091RC, NUCLEO-F103RB, NUCLEO-F302R8, NUCLEO-F303RE, NUCLEO- F334R8, NUCLEO-F401RE,

More information

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software!

TEVATRON TECHNOLOGIES PVT. LTD Embedded! Robotics! IoT! VLSI Design! Projects! Technical Consultancy! Education! STEM! Software! Summer Training 2016 Advance Embedded Systems Fast track of AVR and detailed working on STM32 ARM Processor with RTOS- Real Time Operating Systems Covering 1. Hands on Topics and Sessions Covered in Summer

More information

2 in 1. BigAVR User s Manual AVR. MikroElektronika. Software and Hardware solutions for Embedded World

2 in 1. BigAVR User s Manual AVR. MikroElektronika. Software and Hardware solutions for Embedded World SOFTWARE AND HARDWARE SOLUTIONS FOR THE EMBEDDED WORLD - Books - Compilers User s Manual 2 in 1 USB 2.0 IN-CIRCUIT PROGRAMMER ATMEL AVR DEVELOPMENT BOARD With useful implemented peripherals, plentiful

More information

WIZ-SM10 Datasheet. ( Version 0.1 ) 2010 WIZnet Co., Ltd. All Rights Reserved. For more information, visit our website at

WIZ-SM10 Datasheet. ( Version 0.1 ) 2010 WIZnet Co., Ltd. All Rights Reserved. For more information, visit our website at WIZ-SM0 Datasheet ( Version 0. ) 00 WIZnet Co., Ltd. All Rights Reserved. For more information, visit our website at http://www.wiznet.co.kr WIZ-SM0 Datasheet (WIZnet Co., Ltd.) Document Revision History

More information

Purchase Agreement. P&E Microcomputer Systems, Inc. 98 Galen St. Watertown, MA

Purchase Agreement. P&E Microcomputer Systems, Inc. 98 Galen St. Watertown, MA Purchase Agreement P&E Microcomputer Systems, Inc. reserves the right to make changes without further notice to any products herein to improve reliability, function, or design. P&E Microcomputer Systems,

More information

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM

Quick Start Guide. TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM TWR-VF65GS10 For Vybrid Controller Solutions Based on ARM Cortex -A5 and Cortex-M4 Processors with the DS-5 Toolchain TOWER SYSTEM Get to Know the TWR-VF65GS10 Dual Quad SPI K20 JTAG Header UART Selection

More information

Wireless Sensor Networks. FireFly 2.2 Datasheet

Wireless Sensor Networks. FireFly 2.2 Datasheet 2.2 Datasheet July 6, 2010 This page intentionally left blank. Contents 1. INTRODUCTION...1 Features...1 Applications...2 2. BLOCK DIAGRAM...3 3. HARDWARE CONNECTIONS...4 Power...5 Header 1 ( UARTS, I2C,

More information

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board. User manual SPC570S-DISP: Discovery+ Evaluation Board Introduction This manual provides information for the final user application developer on how to use SPC57S-Discovery microcontroller evaluation board.

More information

EM-LPC1700 Evaluation Board User Manual V1.2

EM-LPC1700 Evaluation Board User Manual V1.2 EM-LPC1700 Evaluation Board User Manual V1.2 EMBEST CO., LIMITED Address:Room 509, Luohu Science & Technology Building, #85 Taining Road, Shenzhen, Guangdong, China 518020 Telephone: 0086-755-25621715

More information

Intel Galileo gen 2 Board

Intel Galileo gen 2 Board Intel Galileo gen 2 Board The Arduino Intel Galileo board is a microcontroller board based on the Intel Quark SoC X1000, a 32- bit Intel Pentium -class system on a chip (SoC). It is the first board based

More information

Cookie User Manual. For NuMicro Edition 1.0. Rev. 1.0 Release: forum.coocox.org.

Cookie User Manual. For NuMicro Edition 1.0. Rev. 1.0 Release: forum.coocox.org. Cookie User Manual For NuMicro Edition 1.0 Rev. 1.0 Release: 2012-08-09 Website: Forum: Techinal: Market: www.coocox.org forum.coocox.org master@coocox.com market@coocox.com 1 Introduction Cookie is an

More information

February 28,

February 28, February 28, 2014 1 http://www.mattairtech.com/ Table of Contents Overview...3 Introduction...3 Features...4 Hardware...5 Main Header Pins...5 ISP Header Pins...6 Solder Jumpers...6 Onboard 3.3V, 250mA

More information

Mega128-Net Mega128-Net Mega128 AVR Boot Loader Mega128-Net

Mega128-Net Mega128-Net Mega128 AVR Boot Loader Mega128-Net Mega128-Net Development Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The Mega128-Net development board is designed

More information

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery

Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Advanced Microcontrollers Grzegorz Budzyń Extras: STM32F4Discovery Plan STM32F4Discovery module STM32F407 description STM32F4Discovery STM32F4Discovery Easily availble(farnell), cheap(~15 EUR) and powerful

More information

Teratronik elektronische systeme gmbh. V4½-CPU Technical Data. Date:

Teratronik elektronische systeme gmbh. V4½-CPU Technical Data. Date: Teratronik elektronische systeme gmbh Technical Data Date: 2008-11-26 Table of contents 1. 2. 3. 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 4. 4.1 4.2 4.3 4.4 Features...3 Views...4 Connector pinouts...6 J1 - board-to-board

More information

MYD-IMX28X Development Board

MYD-IMX28X Development Board MYD-IMX28X Development Board MYC-IMX28X CPU Module as Controller Board Two 1.27mm pitch 80-pin SMT Male Connectors for Board-to-Board Connections 454MHz Freescale i.mx28 Series ARM926EJ-S Processors 128MB

More information

Hands on Experience with AVR32

Hands on Experience with AVR32 Hands on Experience with AVR32 By: Mazhar Hussain mazhar.hussain @miun.se Muhammad Amir Yousaf 1 Tutorial Overview Introduction to AT32UC3A0512 (µ-controller) µ-controller Sensors Display Peripherals AVR

More information

Hardware Reference. DIL/NetPC DNP/2110 Board Revision 1.0

Hardware Reference. DIL/NetPC DNP/2110 Board Revision 1.0 DIL/NetPC DNP/2110 Board Revision 1.0 Hardware Reference SSV Embedded Systems Heisterbergallee 72 D-30453 Hannover Phone +49-(0)511-40000-0 Fax +49-(0)511-40000-40 E-mail: sales@ist1.de Manual Revision:

More information

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: "Internet of Things ", Raj Kamal, Publs.: McGraw-Hill Education

Lesson 6 Intel Galileo and Edison Prototype Development Platforms. Chapter-8 L06: Internet of Things , Raj Kamal, Publs.: McGraw-Hill Education Lesson 6 Intel Galileo and Edison Prototype Development Platforms 1 Intel Galileo Gen 2 Boards Based on the Intel Pentium architecture Includes features of single threaded, single core and 400 MHz constant

More information

MegaAVR-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX

MegaAVR-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN (317) (317) FAX MegaAVR-DEVelopment Board Progressive Resources LLC 4105 Vincennes Road Indianapolis, IN 46268 (317) 471-1577 (317) 471-1580 FAX http://www.prllc.com GENERAL The MegaAVR-Development board is designed for

More information

Easy Kit Board Manual

Easy Kit Board Manual User s Manual, V1.0, June2008 Easy Kit Board Manual Easy Kit - XC88x Microcontrollers Edition 2008-06 Published by Infineon Technologies AG, 81726 München, Germany Infineon Technologies AG 2008. All Rights

More information

EMB128. ere co., ltd.

EMB128. ere co., ltd. ATMEGA128 Embedded Board Main Features Atmega128 8-bit RISC CPU (AVR family) Serial EEPROM (I2C), 24LC256 Real Time Clock, DS1307 3V lithium battery keeping time and date 2 channels RS485 2 channels RS232

More information

pico-sam9g45 System board

pico-sam9g45 System board System board Reference manual Rev. 1.j Layout Views Layout top view Layout bottom view Legend: J2 Ethernet port J12 ZIF connector for the LCD U1 USB HUB SMSC chip J3 USB 2.0 J14 Keypad connector BEEP PWM

More information

SBAT90USB162 Atmel. SBAT90USB162 Development Board User s Manual

SBAT90USB162 Atmel. SBAT90USB162 Development Board User s Manual SBAT90USB162 Atmel AT90USB162 Development Board User s manual 1 1. INTRODUCTION Thank you for choosing the SBAT90USB162 Atmel AT90USB162 development board. This board is designed to give a quick and cost-effective

More information

ARDUINO INDUSTRIAL 1 01 Code: A000126

ARDUINO INDUSTRIAL 1 01 Code: A000126 ARDUINO INDUSTRIAL 1 01 Code: A000126 The Industrial 101 is a small form-factor YUN designed for product integration. OVERVIEW: Arduino Industrial 101 is an Evaluation board for Arduino 101 LGA module.

More information

UM1855 User manual. Evaluation board with STM32L476ZGT6 MCU. Introduction

UM1855 User manual. Evaluation board with STM32L476ZGT6 MCU. Introduction UM855 User manual Evaluation board with STML76ZGT6 MCU Introduction The STML76G-EVAL evaluation board is designed as complete demonstration and development platform for STMicroelectronics ARM Cortex -M-core-based

More information

Microcontroller. BV523 32bit Microcontroller. Product specification. Jun 2011 V0.a. ByVac Page 1 of 8

Microcontroller. BV523 32bit Microcontroller. Product specification. Jun 2011 V0.a. ByVac Page 1 of 8 32bit Product specification Jun 2011 V0.a ByVac Page 1 of 8 Contents 1. Introduction...3 2. Features...3 3. Physical Specification...3 3.1. PIC32...3 3.2. USB Interface...3 3.3. Power Supply...4 3.4. Power

More information

Goal: We want to build an autonomous vehicle (robot)

Goal: We want to build an autonomous vehicle (robot) Goal: We want to build an autonomous vehicle (robot) This means it will have to think for itself, its going to need a brain Our robot s brain will be a tiny computer called a microcontroller Specifically

More information

Farklı Arduino Boardlar

Farklı Arduino Boardlar Farklı Arduino Boardlar Arduino UNO R3 Microcontroller ATmega328P (8 bit) DataSheet http://ww1.microchip.com/downloads/en/devicedoc/atmel- 42735-8-bit-AVR-Microcontroller-ATmega328-328P_Datasheet.pdf Operating

More information

STM32 Cortex-M3 STM32F STM32L STM32W

STM32 Cortex-M3 STM32F STM32L STM32W STM32 Cortex-M3 STM32F STM32L STM32W 01 01 STM32 Cortex-M3 introduction to family 1/2 STM32F combine high performance with first-class peripherals and lowpower, low-voltage operation. They offer the maximum

More information

AT91SAM9G45 EVK Board

AT91SAM9G45 EVK Board AT91SAM9G45 EVK Board User Manual V1.0 date:2011.02.22 Revision history Rev Date Description 1.0 20110222 Initial version Catalog SECTION 1 OVERVIEW... 1 1.1 Scope... 1 1.2 Deliverables... 1 1.3 The AT91SAM9G45-EVK

More information

Glomation. Evaluation Carrier Board GECB-9GX5 Quick Start Guide

Glomation. Evaluation Carrier Board GECB-9GX5 Quick Start Guide Glomation Evaluation Carrier Board GECB-9GX5 Quick Start Guide Table of Contents Chapter 1 Introducing the GECB-9GX5 Carrier Board... 4 GECB-9GX5 Overview... 4 Integrated Features... 4 Chapter 2 GECB-9GX5

More information

UM1724 User manual. STM32 Nucleo-64 boards. Introduction

UM1724 User manual. STM32 Nucleo-64 boards. Introduction User manual STM32 Nucleo-64 boards Introduction The STM32 Nucleo-64 board (NUCLEO-F030R8, NUCLEO-F070RB, NUCLEO-F072RB, NUCLEO-F091RC, NUCLEO-F103RB, NUCLEO-F302R8, NUCLEO-F303RE, NUCLEO-F334R8, NUCLEO-F401RE,

More information

WAVETEK BLE-WT51822AA/AB. Revision History. Bluetooth low energy Module WT51822AA (256k) /AB (128k) (Bluetooth Low Energy BT4.0) PRODUCT SPECIFICATION

WAVETEK BLE-WT51822AA/AB. Revision History. Bluetooth low energy Module WT51822AA (256k) /AB (128k) (Bluetooth Low Energy BT4.0) PRODUCT SPECIFICATION Bluetooth low energy Module WT51822AA (256k) /AB (128k) (Bluetooth Low Energy BT4.0) PRODUCT SPECIFICATION Part number: BLE WT51822AA/AB Wavetek has developed a module which supports Bluetooth Low Energy

More information

Spark-501 Standard SMARC, Cortex-A5 based System on Module Integration guide

Spark-501 Standard SMARC, Cortex-A5 based System on Module Integration guide Spark-501 Standard SMARC, Cortex-A5 based System on Module Integration guide Revision 1.1 Contents 1 Scope... 4 1.1 SoM introduction... 4 1.2 SoM models... 4 2 SPARK-501 Integration guide... 5 2.1 Block

More information

REV CHANGE DESCRIPTION NAME DATE. A Release

REV CHANGE DESCRIPTION NAME DATE. A Release REV CHANGE DESCRIPTION NAME DATE A Release 11-07-12 Any assistance, services, comments, information, or suggestions provided by SMSC (including without limitation any comments to the effect that the Company

More information

Hello, and welcome to this presentation of the STM32 Reset and Clock Controller.

Hello, and welcome to this presentation of the STM32 Reset and Clock Controller. Hello, and welcome to this presentation of the STM32 Reset and Clock Controller. 1 The RCC controller integrated inside STM32 products manages system and peripheral clocks. STM32F7 devices embed two internal

More information

6LoWPAN Development Platform Saker Manual

6LoWPAN Development Platform Saker Manual 6LoWPAN Development Platform Saker Manual WEPTECH elektronik GmbH Page 1 of 19 V.1.0.1 1. Table of Content 1. General information... 4 1.1 1.2 1.3 1.4 1.5 Copyright protection... 4 Warranty information...

More information

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide. Copyright 2017 Active-Semi, Inc.

PAC5523EVK1. Power Application Controllers. PAC5523EVK1 User s Guide.   Copyright 2017 Active-Semi, Inc. PAC5523EVK1 Power Application Controllers PAC5523EVK1 User s Guide www.active-semi.com Copyright 2017 Active-Semi, Inc. CONTENTS Contents...2 Overview...3 PAC5523EVK1 Resources...5 Pinout and Signal Connectivity...5

More information

Interconnects, Memory, GPIO

Interconnects, Memory, GPIO Interconnects, Memory, GPIO Dr. Francesco Conti f.conti@unibo.it Slide contributions adapted from STMicroelectronics and from Dr. Michele Magno, others Processor vs. MCU Pipeline Harvard architecture Separate

More information

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667)

UNC20 Module. User's Manual. D Breisach, Germany D Breisach, Germany Fax +49 (7667) UNC20 Module User's Manual P.O: Box 1103 Kueferstrasse 8 Tel. +49 (7667) 908-0 sales@fsforth.de D-79200 Breisach, Germany D-79206 Breisach, Germany Fax +49 (7667) 908-200 http://www.fsforth.de Copyright

More information

DevKit7000 Evaluation Kit

DevKit7000 Evaluation Kit DevKit7000 Evaluation Kit Samsung S5PV210 Processor based on 1GHz ARM Cortex-A8 core Onboard 512MByte DDR2 and 512MByte NAND Flash 4 UART, 4 USB Host, USB Device, Ethernet, Audio, TF, RTC,... Supports

More information

DBAT90USB162 Atmel. DBAT90USB162 Enhanced Development Board User s Manual

DBAT90USB162 Atmel. DBAT90USB162 Enhanced Development Board User s Manual DBAT90USB162 Atmel AT90USB162 Enhanced Development Board User s manual 1 1. INTRODUCTION Thank you for choosing the DBAT90USB162 Atmel AT90USB162 enhanced development board. This board is designed to give

More information

F2MC MB90385 series Evaluation Board Documentation. Revision Date Comment V New document

F2MC MB90385 series Evaluation Board Documentation. Revision Date Comment V New document F2MC MB90385 series Evaluation Board Documentation Revision Date Comment V1.0 08.25.02 New document 1 Warranty and Disclaimer To the maximum extent permitted by applicable law, Fujitsu Microelectronics

More information

eip-10 Embedded TCP/IP 10-BaseT Network Module Features Description Applications

eip-10 Embedded TCP/IP 10-BaseT Network Module Features Description Applications Embedded TCP/IP 10-BaseT Network Module Features 8-bit reprogrammable Microcontroller with Enhanced Flash program memory, EEPROM and Static RAM data memory On board 10Mbps Ethernet controller, and RJ45

More information

STR710-EVAL. Evaluation Board for STR71xF. Main components. Description. Features. STR710-EVAL board

STR710-EVAL. Evaluation Board for STR71xF. Main components. Description. Features. STR710-EVAL board Evaluation Board for STR71xF STR710-EVAL board Host to JTAG interface High speed JTAG debug port connection Main components STR710F processor running at 48 MHz EMI SRAM 4 Mbytes (2M x 16) EMI flash 4 Mbytes

More information

ICnova SAMA5D37 SODIMM Datasheet

ICnova SAMA5D37 SODIMM Datasheet SAMA5D37 SODIMM-200 Module Cost eficient, high performance, reliable + Guaranteed availability >5 years (with customer outline agreement) + Easy design-in at low risk + Cost saving by short development

More information

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions.

The Information contained herein is subject to change without notice. Revisions may be issued regarding changes and/or additions. Cobalt MC Gumstix, Inc. shall have no liability of any kind, express or implied, arising out of the use of the Information in this document, including direct, indirect, special or consequential damages.

More information

TWR-KM34Z50MV3 Quick Start Guide

TWR-KM34Z50MV3 Quick Start Guide TWR-KM34Z50MV3 Quick Start Guide Development Kit for Kinetis KM34/33/14 MCU Families Tower System Development Board Platform Quick Start Guide Get to Know the TWR-KM34Z50MV3 Board Segment LCD Board Power

More information

PVK40. User's manual. Feature Rich Development and Educational Kit for 40-pin Microchip PIC microcontrollers

PVK40. User's manual. Feature Rich Development and Educational Kit for 40-pin Microchip PIC microcontrollers PVK40 User's manual Feature Rich Development and Educational Kit for 40-pin Microchip PIC microcontrollers CONTENTS PVK40 3 On-board peripherals: 3 Power supply 4 Microcontroller 4 Reset circuitry 4 Oscilator

More information

AC/DC. Adapter. Ribbon. Cable Serial. Serial. Adapter. Figure 1. Hardware Setup using an EC2 Serial Adapter

AC/DC. Adapter. Ribbon. Cable Serial. Serial. Adapter. Figure 1. Hardware Setup using an EC2 Serial Adapter C8051F32X DEVELOPMENT KIT USER S GUIDE 1. Kit Contents The C8051F32x Development Kit contains the following items: C8051F320 Target Board C8051Fxxx Development Kit Quick-Start Guide C8051F32x Development

More information

S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH REV A/B) EXTERNAL USE

S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH REV A/B) EXTERNAL USE S32K148 EVB QUICK START GUIDE REV1 APPLIES FOR: S32K148 EVB (SCH-29644 REV A/B) Contents: Get to Know S32K148 EVB Out of the Box Setup Introduction to OpenSDA S32DS IDE basics: Download Create a project

More information

G80 SoC Datasheet. Where Hardware Meets Software

G80 SoC Datasheet. Where Hardware Meets Software GHI Electronics, LLC 501 E. Whitcomb Ave. Madison Heights, Michigan 48071 Phone: (248) 397-8856 Fax: (248) 397-8890 www.ghielectronics.com G80 SoC Datasheet Where Hardware Meets Software GHI Electronics,

More information

BIG8051. Development system. User manual

BIG8051. Development system. User manual BIG8051 User manual All s development systems represent irreplaceable tools for programming and developing microcontroller-based devices. Carefully chosen components and the use of machines of the last

More information

STM32L4R9I-EVAL. Evaluation board with STM32L4R9AI MCU. Features

STM32L4R9I-EVAL. Evaluation board with STM32L4R9AI MCU. Features Evaluation board with STM32L4R9AI MCU Data brief Features STM32L4R9AII6 microcontroller with 2-Mbytes of Flash memory and 640-Kbytes of RAM in a UFBGA169 package 1.2 390x390 pixel MIPI DSI round LCD 4.3

More information

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II

CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II CORRIGENDUM ISSUED FOR NATIONAL COMPETITIVE BIDDING UNDER TEQIP PHASE-II The prebid meeting for the packages to be purchased under national competitive bidding for TEQIP Phase II was held on 15/10/2013

More information

Modtronix Engineering Modular Electronic Solutions SBC28DC. Single board computer for 28 pin DIP PICs

Modtronix Engineering Modular Electronic Solutions SBC28DC. Single board computer for 28 pin DIP PICs Modtronix Engineering Modular Electronic Solutions Single board computer for 28 pin DIP PICs Table of Contents 1 Introduction...2 2 Features...4 3 Expansion Connectors...5 3.1 Daughter Board Connectors...5

More information

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES

MicroBolt. Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Microcomputer/Controller Featuring the Philips LPC2106 FEATURES Powerful 60 MHz, 32-bit ARM processing core. Pin compatible with 24 pin Stamp-like controllers. Small size complete computer/controller with

More information

FRDM-KE02Z User s Manual

FRDM-KE02Z User s Manual Freescale Semiconductor Document Number: FRDMKE02ZUM User s Manual Rev. 0, 07/2013 FRDM-KE02Z User s Manual 1 Overview The Freescale Freedom Development Platform is an evaluation and development tool ideal

More information