ARM Core based FM3 Family Microcontrollers

Size: px
Start display at page:

Download "ARM Core based FM3 Family Microcontrollers"

Transcription

1 ARM Core based FM3 Family Microcontrollers FUJITSU SEMICONDUCTOR LIMITED

2 Creating a new value using the FM3 Family with ARM Cortex M3 Core! Fujitsu Semiconductor has newly added the FM3 Family microcontrollers with ARM Concept of FM3 family Easy select microcontrollers We provide four groups of products, each for different applications. HighPerformance Applications requiring highspeed processing Basic Applications seeking cost performance LowPower Energysaving with low voltage operations Ultra LowLeak Best suitable to batterydriven operations CortexM3 Core to our existing lineup with Fujitsu original CPU cores. The FM3 Family targets consumer and industrial equipment markets. There are two product lines available; the "Highperformance line" to meet the demands of DSP and 3bit microcontroller class products and the "Lowpower line" to meet the demands of 3 and FM3 Family Product Lineup We provide easyselect product lineup for each customer s applications. Highperformance line.7~v valueadded system by utilizing trusted technology and microcontroller peripheral For the latest news: microcontrollers together with a builtin CortexM3 Fujitsu FM3 Core, which is optimal for the embedded market. Search MHz The FM3 Family provides customers with an even easiertouse product and even more functions with performance proven in past Ether 44MHz 6bit microcontroller class products. 44/76pin Global CPU core (ARM Cortex M3) Providing the No. product Fujitsu original technology Global core memory 44 MHz (max).65 V/.8 to V/ V Highperformance analog IP Can be overwritten up to 00,000 times security Flexible peripheral functions Multifunctional serial for Motor, Base timer, and Multifunctional timer Builtin CR oscillator Highspeed bit A/D Communication functions CAN, USB, Ethernet Safety circuit H/W watchdog timer Lowvoltage detection circuit Clock supervisor Differentiate the performance and added values of microcontroller products with builtin technology for peripheral resources, software, and supports ARM and Cortex are trademarks of ARM Limited in the EU and other countries. MHz 44/76pin HighPerformance Group ) High speed operation: MHz/44 MHz ) Builtin EtherMAC, CAN, and USB 00/0pin 64//00pin Lowpower line LCD 0MHz ) Mid speed operation: MHz ) Builtin USB 48pin 48/64pin FY00/H Basic Group FY0/H LCD FY0/H 64//00pin LowPower Group ) Lowers operation current ) Builtin LCDC, USB 64//00pin 64//00pin Ultra LowLeak Group ) Lowers leakage current ) Builtin LCDC /00pin FY0/H~ Development tools Customer s development is supported by development tools which have a track record of achievement We support your system development by various development tools (integrated development environment, debugging environment, middleware, etc.) of third party providers who have a track record in many ARMcore integrated products. In addition, contact our technical support center for inquiries regarding development. ARM CortexM3 High performance and wide range operations.8~v Inheritance of the peripheral functions of microcontroller from Fujitsu original products FM3 family also inherits the peripheral functions of microcontroller from Fujitsu original products, including multifunctional serial where the customer can freely choose from UART/SIO/I C communication functions, multifunctional timer, clock supervisor that monitors the state of an external oscillator clock, builtin CR oscillator, etc..65~3.6v 00/0pin Fusion of Fujitsu s unique flash technology with the globalstandard CPU core By using our unique flash technology with application records in industrial/consumer equipment and invehicle equipment, achieved to commercialize CortexM3 builtin microcontroller, the globalstandard CPU core in integration market. This provides a new form of microcontrollers which offers high reliability, high quality, and high functionality. 00/0pin Features of FM3 family

3 FamilyHighperformance line Series Name Product Name Maximum Internal Clock Frequency [MHz] Package Operating Voltage: VCC [V] Sub Clock Memory Type ROM [byte] RAM [byte] Cache [Kbyte] DMAC Ext. Interupt External Bus Maximum I/O port 0bit AD Converter bit AD converter DA Converter [bit x ch] Output Compare FreeRun Timer Input Capture Reload Timer Timer Serial Communication PWM Timer PWC Timer PPG Timer Up/Down Counter Other Timers I C UART/SIO SIO LIN/UART/SIO CAN USBHost USBFunction LCD Controller [seg x com] Treephase Inverter Note Evaluation Device HighPerformance Group MB9BD0T MB9B60T MB9B50T MB9BT MB9B30T MB9B0T MB9B0T MB9B50R MB9BR MB9B30R MB9B0R MB9B500B MB9B0A MB9B300B MB9B00A MB9A00A MB9BFD6T LQFP76 BGA9 5K MB9BFD6S LQFP44 4 (3) LQFP76 MB9BFD7T 44 BGA9 768K 96K 8 3 MB9BFD7S LQFP44 4 (3) MB9BFD8T LQFP76 BGA9 M 8K MB9BFD8S LQFP44 4 (3) MB9BF66T LQFP76 BGA9 5K MB9BF66S LQFP44 4 (3) MB9BF67T LQFP76 44 BGA9 768K 96K 8 3 MB9BF67S LQFP44 4 (3) MB9BF68T LQFP76 BGA9 M 8K MB9BF68S LQFP44 4 (3) MB9BF56T LQFP76 BGA9 5K MB9BF56S LQFP44 4 (3) MB9BF57T LQFP76 44 BGA9 768K 96K 8 3 MB9BF57S LQFP44 4 (3) MB9BF58T LQFP76 BGA9 M 8K MB9BF58S LQFP44 4 (3) MB9BF46T LQFP76 BGA9 5K MB9BF46S LQFP44 4 (3) MB9BF47T LQFP76 44 BGA9 768K 96K 8 3 MB9BF47S LQFP44 4 (3) MB9BF48T LQFP76 BGA9 M 8K MB9BF48S LQFP44 4 (3) MB9BF36T LQFP76 BGA9 5K MB9BF36S LQFP44 4 (3) MB9BF37T LQFP76 44 BGA9 768K 96K 8 3 MB9BF37S LQFP44 4 (3) MB9BF38T LQFP76 BGA9 M 8K MB9BF38S LQFP44 4 (3) MB9BF6T LQFP76 BGA9 5K MB9BF6S LQFP44 4 (3) MB9BF7T LQFP76 44 BGA9 768K 96K 8 3 MB9BF7S LQFP44 4 (3) MB9BF8T LQFP76 BGA9 M 8K MB9BF8S LQFP44 4 (3) MB9BF6T LQFP76 BGA9 5K MB9BF6S LQFP44 4 (3) MB9BF7T LQFP76 44 BGA9 768K 96K 8 3 MB9BF7S LQFP44 4 (3) MB9BF8T LQFP76 BGA9 M 8K MB9BF8S LQFP44 4 (3) QFP00 MB9BF5N LQFP00 BGA 8K+ 6K MB9BF5R LQFP0 03 QFP00 MB9BF54N LQFP00 BGA 56K+ MB9BF54R LQFP QFP00 6(3) MB9BF55N LQFP00 BGA 384K+ 48K MB9BF55R LQFP0 03 QFP00 MB9BF56N LQFP00 BGA 5K+ MB9BF56R LQFP0 03 QFP00 MB9BF4N LQFP00 BGA 8K+ 6K MB9BF4R LQFP0 03 QFP00 MB9BF44N LQFP00 BGA 56K+ MB9BF44R LQFP QFP (3) MB9BF45N LQFP00 BGA 384K+ 48K MB9BF45R LQFP0 03 QFP00 MB9BF46N LQFP00 BGA 5K+ MB9BF46R LQFP0 03 QFP00 MB9BF3N LQFP00 BGA 8K+ 6K MB9BF3R LQFP0 03 QFP00 MB9BF34N LQFP00 BGA 56K+ MB9BF34R LQFP QFP00 6 (3) MB9BF35N LQFP00 BGA 384K+ 48K MB9BF35R LQFP0 03 QFP00 MB9BF36N LQFP00 BGA 5K+ MB9BF36R LQFP0 03 QFP00 MB9BFN LQFP00 BGA 8K+ 6K MB9BFR LQFP0 03 QFP00 MB9BF4N LQFP00 BGA 56K+ MB9BF4R LQFP QFP00 6 (3) MB9BF5N LQFP00 BGA 384K+ 48K MB9BF5R LQFP0 03 QFP00 MB9BF6N LQFP00 BGA 5K+ MB9BF6R LQFP0 03 MB9BF504NB LQFP00 BGA 56K MB9BF504RB LQFP0 00 MB9BF505NB LQFP00 BGA 384K 48K 8 6 MB9BF505RB LQFP (3) MB9BF506NB LQFP00 BGA 5K MB9BF506RB LQFP0 00 MB9BF4NA LQFP00 BGA 56K MB9BF4RA LQFP0 00 MB9BF5NA LQFP00 BGA 384K 48K 8 6 MB9BF5RA LQFP (3) MB9BF6NA LQFP00 BGA 5K MB9BF6RA LQFP0 00 MB9BF304NB LQFP00 BGA 56K MB9BF304RB LQFP0 00 MB9BF305NB LQFP00 BGA 384K 48K 8 6 MB9BF305RB LQFP (3) MB9BF306NB LQFP00 BGA 5K MB9BF306RB LQFP0 00 MB9BF0NA LQFP00 BGA 8K 6K MB9BF0RA LQFP0 00 MB9BF04NA LQFP00 BGA 56K MB9BF04RA LQFP (3) MB9BF05NA LQFP00 BGA 384K 48K MB9BF05RA LQFP0 00 MB9BF06NA LQFP00 BGA 5K MB9BF06RA LQFP0 00 MB9AF0NA LQFP00 QFP00 BGA 8K 6K MB9AF0RA LQFP0 00 LQFP00 MB9AF04NA QFP00 BGA 56K 8 6 MB9AF04RA LQFP0 00 LQFP00 MB9AF05NA QFP00 BGA 384K 48K MB9AF05RA LQFP0 00 MB9AF3L LQFP64 MB9AF3M LQFP 6K 6 (3) () 66 (3) MB9AF3N LQFP (3) MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ Wave form Generator 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer 3units (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ AD activation Comapre 3ch MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ Basic Group MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ Base Timer 6ch Base Timer 6ch Base Timer 6ch Base Timer 6ch Base Timer 6ch Base Timer 6ch Base Timer 6ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch (USBHost/ USBFunction (USBHost/ USBFunction (USBHost/ USBFunction (USBHost/ USBFunction (USBHost/ USBFunction CAN: 3Msgbuffer, EthernetMAC EthernetMAC CAN: 3Msgbuffer, CAN: 3Msgbuffer, EthernetMAC ch ch (USBHost/ USBFunction ch (USBHost/ USBFunction CAN: 3Msgbuffer, CAN: 3Msgbuffer, ch (USBHost/ USBFunction ch (USBHost/ USBFunction CAN: 3Msgbuffer, CAN: 3Msgbuffer, (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP/ETM) (SWJDP) (SWJDP/ETM)

4 MB9AF3L LQFP64 MB9AF3M LQFP MB9A30 66 (3) 8 MB9A0 MB9A30K MB9A0K 8K () MB9AF3N LQFP (3) MB9AF34L LQFP64 MB9AF34N LQFP (3) 56K MB9AF34M LQFP () 66 (3) MB9AF35M LQFP 66 (3) MB9AF35N LQFP00 384K 6 6 (3) MB9AF36M LQFP 66 (3) 5K MB9AF36N LQFP (3) MB9AFL LQFP64 MB9AFM LQFP MB9AFM LQFP 8 6K () 66 (3) MB9AFN LQFP (3) MB9AFL LQFP64 8K () 66 (3) MB9AFN LQFP (3) MB9AF4L LQFP64 MB9AF4N LQFP (3) 56K MB9AF4M LQFP () 66 (3) MB9AF5M LQFP 66 (3) MB9AF5N LQFP00 384K 6 6 (3) MB9AF6M LQFP 66 (3) 5K MB9AF6N LQFP (3) MB9AF3K MB9AF MB9AFK MB9AFK LQFP48 LQFP48 8K+ 8K+ 6K () 6K () MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ Output Comapre 6ch/ MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer units (FreeRun 3ch/ Base Timer 8ch Base Timer 8ch MultiFunction Timer unit (FreeRun 3ch/ Base Timer 8ch MultiFunction Timer unit (FreeRun 3ch/ Base Timer 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 4ch Multi Function Serial 4ch ch (USBHost/ USBFunction ch (USBHost/ USBFunction (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP) FamilyLowpower line Series Name Product Name Maximum Internal Clock Frequency [MHz] Package Operating Voltage: VCC [V] Sub Clock Memory Type ROM [byte] RAM [byte] Cache [Kbyte] DMAC Ext. Interupt External Bus Maximum I/O port 0bit AD Converter bit AD converter DA Converter [bit x ch] Output Compare FreeRun Timer Input Capture Reload Timer Timer Serial Communication PWM Timer PWC Timer PPG Timer Up/Down Counter Other Timers I C UART/SIO SIO LIN/UART/SIO CAN USBHost USBFunction LCD Controller [seg x com] Treephase Inverter Note Evaluation Device MB9ABN MB9AAN MB9A3N MB9AN MB9AA30N MB9A30N MB9A30LA MB9AFB4L MB9AFB4M MB9AFB4N MB9AFB4L MB9AFB4M MB9AFB4N MB9AFB44L MB9AFB44M MB9AFB44N MB9AFA4L MB9AFA4M MB9AFA4N MB9AFA4L MB9AFA4M MB9AFA4N MB9AFA44L MB9AFA44M MB9AFA44N MB9AF34L MB9AF34M MB9AF34N MB9AF34L MB9AF34M MB9AF34N MB9AF344L MB9AF344M MB9AF344N MB9AF4L MB9AF4M MB9AF4N MB9AF4L MB9AF4M MB9AF4N MB9AF44L MB9AF44M MB9AF44N MB9AFA3L 0 LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 LQFP BGA96 LQFP00 QFP00 BGA LQFP64 QFN to 8K+ 56K+ 8K+ 56K+ 8K+ 56K+ 8K+ 56K+ 6K 6K 6K 6K () LowPower Group 66 7 () () () () Base Timer 8ch Multi Function Serial 8ch ch 33 8 (USBHost/ USBFunction 6 4 () () () () () 66 7 () () () () Base Timer 8ch Multi Function Serial 8ch () () () () () 66 7 () 6 4 () 8 5 () 66 7 () 6 4 () 8 5 () 66 7 () 6 4 () 8 5 () 66 7 () 6 4 () 8 5 () 66 7 () 6 4 () 8 5 () 66 7 () 6 4 () MB9AFA3M LQFP K 67 () LQFP00 MB9AFA3N QFP () BGA MB9AFA3L LQFP64 QFN () () MB9AFA3M LQFP 8K 6K 67 () LQFP00 MB9AFA3N QFP () BGA MB9AF3M LQFP 67 MB9AF3N LQFP00 QFP00 K 6 84 () BGA MB9AF3M MB9AF3N MB9AF3LA MB9AF3KA MB9AF3LA MB9AFA 0 0 LQFP LQFP00 QFP00 BGA LQFP64 QFN64 LQFP48 QFN48 LQFP64 QFN64 LQFP48 QFN48.8 to.8 to 8K 8K 6K 8K () 8 () () () () 0bit x 0bit x Ultra LowLeak Group MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ MultiFunction Timer unit (FreeRun 3ch/ Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Base Timer 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 8ch Multi Function Serial 4ch Selectable, CSIO/I C is Max 3ch) Multi Function Serial 8ch Multi Function Serial 4ch Selectable, CSIO/I C is Max 3ch) ch (USBHost/ USBFunction or or or or or or 8 HDMICEC/Remote Control Receiver, Realtime Clock HDMICEC/Remote Control Receiver, Realtime Clock HDMICEC/Remote Control Receiver, Realtime Clock HDMICEC/Remote Control Receiver, Realtime Clock HDMICEC/Remote Control Receiver, Realtime Clock HDMICEC/Remote Control Receiver, Realtime Clock Realtime Clock (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP/ETM) (SWJDP) (SWJDP) (SWJDP)

5 FUJITSU SEMICONDUCTOR LIMITED Nomura Fudosan Shinyokohama Bldg. 03, Shinyokohama Chome, Kohokuku Yokohama Kanagawa 0033, Japan Tel: For further information please contact: North and South America FUJITSU SEMICONDUCTOR AMERICA, INC. 50 E. Arques Avenue, M/S 333 Sunnyvale, CA 9855, U.S.A. Tel: Fax: Europe FUJITSU SEMICONDUCTOR EUROPE GmbH Pittlerstrasse 47, 635 Langen, Germany Tel: Fax: Korea FUJITSU SEMICONDUCTOR KOREA LTD. 90 Kosmo Tower Building, 00 DaechiDong, GangnamGu, Seoul 35, Republic of Korea Tel: Fax: Asia Pacific FUJITSU SEMICONDUCTOR ASIA PTE. LTD. 5 Lorong Chuan, #0508 New Tech Park Singapore Tel : Fax : FUJITSU SEMICONDUCTOR SHANGHAI CO., LTD. 30F, Kerry Parkside, 55 Fang Dian Road, Pudong District, Shanghai 004, China Tel : Fax : FUJITSU SEMICONDUCTOR PACIFIC ASIA LTD. 0/F., World Commerce Centre, Canton Road, Tsimshatsui, Kowloon, Hong Kong Tel : Fax : Specifications are subject to change without notice. For further information please contact each office. All Rights Reserved. The contents of this document are subject to change without notice. Customers are advised to consult with sales representatives before ordering. The information, such as descriptions of function and application circuit examples, in this document are presented solely for the purpose of reference to show examples of operations and uses of FUJITSU SEMICONDUCTOR device; FUJITSU SEMICONDUCTOR does not warrant proper operation of the device with respect to use based on such information. When you develop equipment incorporating the device based on such information, you must assume any responsibility arising out of such use of the information. FUJITSU SEMICONDUCTOR assumes no liability for any damages whatsoever arising out of the use of the information. Any information in this document, including descriptions of function and schematic diagrams, shall not be construed as license of the use or exercise of any intellectual property right, such as patent right or copyright, or any other right of FUJITSU SEMICONDUCTOR or any third party or does FUJITSU SEMICONDUCTOR warrant noninfringement of any thirdparty's intellectual property right or other right by using such information. FUJITSU SEMICONDUCTOR assumes no liability for any infringement of the intellectual property rights or other rights of third parties which would result from the use of information contained herein. The products described in this document are designed, developed and manufactured as contemplated for general use, including without limitation, ordinary industrial use, general office use, personal use, and household use, but are not designed, developed and manufactured as contemplated () for use accompanying fatal risks or dangers that, unless extremely high safety is secured, could have a serious effect to the public, and could lead directly to death, personal injury, severe physical damage or other loss (i.e., nuclear reaction control in nuclear facility, aircraft flight control, air traffic control, mass transport control, medical life support system, missile launch control in weapon system), or () for use requiring extremely high reliability (i.e., submersible repeater and artificial satellite). Please note that FUJITSU SEMICONDUCTOR will not be liable against you and/or any third party for any claims or damages arising in connection with abovementioned uses of the products. Any semiconductor devices have an inherent chance of failure. You must protect against injury, damage or loss from such failures by incorporating safety design measures into your facility and equipment such as redundancy, fire protection, and prevention of overcurrent levels and other abnormal operating conditions. Exportation/release of any products described in this document may require necessary procedures in accordance with the regulations of the Foreign Exchange and Foreign Trade Control Law of Japan and/or US export control laws. The company names and brand names herein are the trademarks or registered trademarks of their respective owners. 000 FUJITSU SEMICONDUCTOR LIMITED Printed in Japan AD E May 0 Edited: Sales Promotion Department

ARM Core based FM3 Family Microcontrollers

ARM Core based FM3 Family Microcontrollers FUJITSU SEMICONDUCTOR LIMITED Nomura Fudosan Shinyokohama Bldg. 0, Shinyokohama Chome, Kohokuku Yokohama Kanagawa 00, Japan Tel: + http://jp.fujitsu.com/fsl/en/ F further infmation please contact: ARM

More information

The following document contains information on Cypress products.

The following document contains information on Cypress products. The following document contains information on Cypress products. TEMPLATE PROJECT USAGE 32-BIT MICROCONTROLLER FM3 Family APPLICATION NOTE Publication Number FM3_AN706-00073-1v0-E Revision 1.0 Issue Date

More information

UnRegistered MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL USER MANUAL. Fujitsu Semiconductor Design (Chengdu) Co. Ltd.

UnRegistered MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL USER MANUAL. Fujitsu Semiconductor Design (Chengdu) Co. Ltd. Fujitsu Semiconductor Design (Chengdu) Co. Ltd. User Manual ANA-UM-500001-E-10 MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL USER MANUAL MB39C601 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL Revision

More information

DUAL REVERSIBLE MOTOR DRIVER MB3863

DUAL REVERSIBLE MOTOR DRIVER MB3863 FUJITSU MICROELECTRONICS DATA SHEET DS4-2914-3Ea ASSP DUAL REVERSIBLE MOTOR DRIVER MB3863 DESCRIPTION The MB3863 is an IC motor driver with two independent reverse control functions. It drives motor drives

More information

MB9AA30N SERIES BLUEMOON-EVB_LCD 32-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Semiconductor Design (Chengdu) Co., Ltd.

MB9AA30N SERIES BLUEMOON-EVB_LCD 32-BIT MICROCONTROLLER APPLICATION NOTE. Fujitsu Semiconductor Design (Chengdu) Co., Ltd. Fujitsu Semiconductor Design (Chengdu) Co., Ltd. Application Note MCU-AN-510109-E-10 32-BIT MICROCONTROLLER MB9AA30N SERIES BLUEMOON-EVB_LCD APPLICATION NOTE ARM and Cortex-M3 are the trademarks of ARM

More information

ETHERNET_FLASH_LOADER

ETHERNET_FLASH_LOADER MCU-AN-510048-E-10 32-BIT MICROCONTROLLER MB9B610 Series ETHERNET_FLASH_LOADER USER MANUAL TM ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other countries. Revision History Revision

More information

FM3. MB9B500 Series 32-BIT MICROCONTROLLER FSS MB9BF506R EV-BOARD USER MANUAL APPLICATION NOTE FUJITSU SEMICONDUCTOR (SHANGHAI) LIMITED

FM3. MB9B500 Series 32-BIT MICROCONTROLLER FSS MB9BF506R EV-BOARD USER MANUAL APPLICATION NOTE FUJITSU SEMICONDUCTOR (SHANGHAI) LIMITED MCU-AN-510014-E-10 FM3 32-BIT MICROCONTROLLER MB9B500 Series FSS MB9BF506R EV-BOARD USER MANUAL APPLICATION NOTE For more information for the FM3 microcontroller, visit the web site at: http://www.fujitsu.com/global/services/microelectronics/product/micom/roadmap/industrial/fm3/

More information

F²MC-8FX FAMILY MB95F370 SERIES ZIGBEE SOLUTION DEVELOPMENT GUI 8-BIT MICROCONTROLLER USER MANUAL

F²MC-8FX FAMILY MB95F370 SERIES ZIGBEE SOLUTION DEVELOPMENT GUI 8-BIT MICROCONTROLLER USER MANUAL Fujitsu Semiconductor Design (Chengdu) Co., Ltd. User Manual MCU-AN-500104-E-11 F²MC-8FX FAMILY 8-BIT MICROCONTROLLER MB95F370 SERIES ZIGBEE SOLUTION DEVELOPMENT GUI USER MANUAL Revision History Revision

More information

STAND-ALONE PROGRAMMER

STAND-ALONE PROGRAMMER Fujitsu Semiconductor Design (Chengdu) Co., Ltd. MCU-AN-500108-E-18 New 8FX FAMILY 8-BIT MICROCONTROLLER ALL SERIES STAND-ALONE PROGRAMMER Revision History Revision History Version Date Updated by Modifications

More information

MB86R12 Emerald-P. Delta Sheet Differences between ES2 and ES3. Fujitsu Semiconductor Europe GmbH

MB86R12 Emerald-P. Delta Sheet Differences between ES2 and ES3. Fujitsu Semiconductor Europe GmbH Delta Sheet Differences between ES2 and ES3 Fujitsu Semiconductor Europe GmbH Rev0-01 June 20, 2012 Revised Jun. 20, 2012 dl-mb86r12-emerald-p-es2-es3-rev0-01 1.1 History Revision Date Author Description

More information

MB9B610T SERIES 618S_NONOS_LWIP ETHERNET SOFTWARE 32-BIT MICROCONTROLLER USER MANUAL MCU-AN E-10

MB9B610T SERIES 618S_NONOS_LWIP ETHERNET SOFTWARE 32-BIT MICROCONTROLLER USER MANUAL MCU-AN E-10 MCU-AN-510046-E-10 32-BIT MICROCONTROLLER MB9B610T SERIES 618S_NONOS_LWIP ETHERNET SOFTWARE USER MANUAL TM ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other countries. Revision History

More information

IC CARD AND ESAM OPERATION

IC CARD AND ESAM OPERATION Fujitsu Semiconductor Design (Chengdu) Co., Ltd. Application Note MCU-AN-500112-E-10 F²MC-8FX FAMILY 8-BIT MICROCONTROLLER MB95410H/470H SERIES ONE PHASE POWER METER (RN8209) SOLUTION IC CARD AND ESAM

More information

ONE PHASE POWER METER (RN8209) SOLUTION

ONE PHASE POWER METER (RN8209) SOLUTION Fujitsu Semiconductor Design (Chengdu) Co., Ltd. Application Note MCU-AN-500110-E-10 F²MC-8FX FAMILY 8-BIT MICROCONTROLLER MB95410H/470H SERIES ONE PHASE POWER METER (RN8209) SOLUTION AMR OPERATION APPLICATION

More information

DSU-FR EMULATOR LQFP-144P HEADER TYPE 9 MB E OPERATION MANUAL

DSU-FR EMULATOR LQFP-144P HEADER TYPE 9 MB E OPERATION MANUAL FUJITSU MICROELECTRONICS SUPPORT SYSTEM SS01-71092-1E DSU-FR EMULATOR LQFP-144P HEADER TYPE 9 MB2198-161-E OPERATION MANUAL PREFACE Thank you for purchasing the LQFP-144P * 1 header type 9 for the DSU-FR*

More information

MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL

MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL Fujitsu Semiconductor Design (Chengdu) Co. Ltd. User Manual ANA-UM-500001-E-10 MB39C602 LED LIGHTING SYSTEM BULB 9W ZIGBEE CONTROL USER MANUAL Revision History Version Date Updated by Approved by Modifications

More information

FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS E DSU-FR EMULATOR LQFP-144P HEADER TYPE 4 MB OPERATION MANUAL

FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS E DSU-FR EMULATOR LQFP-144P HEADER TYPE 4 MB OPERATION MANUAL FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS01-71031-2E DSU-FR EMULATOR LQFP-144P HEADER TYPE 4 MB2198-123 OPERATION MANUAL PREFACE Thank you for purchasing the LQFP-144P header type 4 (MB2198-123) for the

More information

MB85R1001A. 1 M Bit (128 K 8) Memory FRAM CMOS. DS v01-E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

MB85R1001A. 1 M Bit (128 K 8) Memory FRAM CMOS. DS v01-E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS501-00003-0v01-E Memory FRAM CMOS 1 M Bit (128 K 8) MB85R1001A DESCRIPTIONS The MB85R1001A is an FRAM (Ferroelectric Random Access Memory) chip consisting of 131,072

More information

CS101 Series. Standard Cell. Semicustom DS E CMOS DESCRIPTION

CS101 Series. Standard Cell. Semicustom DS E CMOS DESCRIPTION FUJITSU SEMICONDUCTOR DATA SHEET DS06 20210 4E Semicustom CMOS Standard Cell CS101 Series DESCRIPTION CS101 series, a 90 nm standard cell product, is a CMOS ASIC that satisfies user s demands for lower

More information

MB85R1002A. 1 M Bit (64 K 16) Memory FRAM CMOS. DS v01-E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

MB85R1002A. 1 M Bit (64 K 16) Memory FRAM CMOS. DS v01-E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS501-00004-0v01-E Memory FRAM CMOS 1 M Bit (64 K 16) MB85R1002A DESCRIPTIONS The MB85R1002A is an FRAM (Ferroelectric Random Access Memory) chip consisting of 65,536 words

More information

FM3 32-BIT MICROCONTROLLER MB9A310/110 Series FLASH PROGRAMMING MANUAL

FM3 32-BIT MICROCONTROLLER MB9A310/110 Series FLASH PROGRAMMING MANUAL FUJITSU SEMICONDUCTOR CONTROLLER MANUAL MN706-00006-2v0-E FM3 32-BIT MICROCONTROLLER FLASH PROGRAMMING MANUAL For the information for microcontroller supports, see the following web site. http://edevice.fujitsu.com/micom/en-support/

More information

CS81 Series. Standard cell. Semicustom DS E CMOS DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

CS81 Series. Standard cell. Semicustom DS E CMOS DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS06-20206-6E Semicustom CMOS Standard cell CS81 Series DESCRIPTION The CS81 series 0.18 μm CMOS standard cell is a line of highly integrated CMOS ASICs featuring high

More information

F²MC-16 FAMILY 16-BIT MICROCONTROLLER An Additional Manual for the Softune Linkage Kit

F²MC-16 FAMILY 16-BIT MICROCONTROLLER An Additional Manual for the Softune Linkage Kit FUJITSU SEMICONDUCTOR ««««««««««««««««XXXX-XXXX-XX-E F²MC-16 FAMILY 16-BIT MICROCONTROLLER An Additional Manual for the Softune Linkage Kit (F²MC-16LX Standby Mode Transition Instruction Check) FUJITSU

More information

ONE PHASE POWER METER (CS5464) SOLUTION

ONE PHASE POWER METER (CS5464) SOLUTION Fujitsu Semiconductor Design (Chengdu) Co., Ltd. Application Note MCU-AN-5000-E-0 F²MC-8FX FAMILY 8-BIT MICROCONTROLLER MB9540H/470H SERIES ONE PHASE POWER METER (CS5464) SOLUTION CS5464 OPERATION APPLICATION

More information

The following document contains information on Cypress products.

The following document contains information on Cypress products. The following document contains information on Cypress products. 8-BIT MICROCONTROLLER New 8FX Family MB95870K/860K/850K series Setup development platform All Rights Reserved. The contents of this document

More information

32-BIT MICROCONTROLLER MB9A310K/110K Series FLASH PROGRAMMING MANUAL

32-BIT MICROCONTROLLER MB9A310K/110K Series FLASH PROGRAMMING MANUAL FUJITSU SEMICONDUCTOR CONTROLLER MANUAL 32-BIT MICROCONTROLLER FLASH PROGRAMMING MANUAL For the information for microcontroller supports, see the following web site. http://edevice.fujitsu.com/micom/en-support/

More information

MB85R K (32 K 8) Bit. Memory FRAM DS E CMOS DESCRIPTIONS FEATURES PACKAGES FUJITSU SEMICONDUCTOR DATA SHEET

MB85R K (32 K 8) Bit. Memory FRAM DS E CMOS DESCRIPTIONS FEATURES PACKAGES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS05-13101-4E Memory FRAM CMOS 256 K (32 K 8) Bit MB85R256 DESCRIPTIONS The MB85R256 is an FRAM (Ferroelectric Random Access Memory) chip in a configuration of 32,768 words

More information

New 8FX Family 8-bit MICROCONTROLLER BGM ADAPTOR MB E OPERATION MANUAL

New 8FX Family 8-bit MICROCONTROLLER BGM ADAPTOR MB E OPERATION MANUAL FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS702-00001-1v0-E New 8FX Family 8-bit MICROCONTROLLER BGM ADAPTOR MB2146-07-E OPERATION MANUAL PREFACE Thank you for purchasing the New 8FX Family All Series BGM adapter

More information

Evaluation board Manual

Evaluation board Manual Evaluation board Manual 7W no-isolation Blub AC220V MB39C602-EVB-CN02 Rev 1.0 Mar. 2013 1. Summarize The driver MB39C602-EVB-CN02 has the driving capability of 7 watts. It can be placed in some LED bulb,

More information

Two-wire serial interface : Fully controllable by two ports: serial clock (SCL) and serial data (SDA). Operating temperature range : 40 C to + 85 C

Two-wire serial interface : Fully controllable by two ports: serial clock (SCL) and serial data (SDA). Operating temperature range : 40 C to + 85 C FUJITSU SEMICONDUCTOR DATA SHEET DS501-00001-2v0-E Memory FRAM 16 K (2 K 8) Bit I 2 C MB85RC16 DESCRIPTION The MB85RC16 is an FRAM (Ferroelectric Random Access Memory) chip in a configuration of 2,048

More information

MB85R M Bit (128 K 8) Memory FRAM CMOS DS E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

MB85R M Bit (128 K 8) Memory FRAM CMOS DS E DESCRIPTIONS FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS05-13103-5E Memory FRAM CMOS 1 M Bit (128 K 8) MB85R1001 DESCRIPTIONS The MB85R1001 is an FRAM (Ferroelectric Random Access Memory) chip consisting of 131,072 words x

More information

MB85RS128A. 128K (16 K 8) Bit SPI. Memory FRAM. DS v01-E DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

MB85RS128A. 128K (16 K 8) Bit SPI. Memory FRAM. DS v01-E DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DATA SHEET DS501-00008-0v01-E Memory FRAM 128K (16 K 8) Bit SPI MB85RS128A DESCRIPTION MB85RS128A is a FRAM (Ferroelectric Random Access Memory) chip in a configuration of 16,384

More information

MB9AX10K/AX4X/B529 SERIES FM3_EEPROM TYPE A/B/C

MB9AX10K/AX4X/B529 SERIES FM3_EEPROM TYPE A/B/C Spansion Application Note MCU-AN-510060-E-14 32-BIT MICROCONTROLLER MB9AX10K/AX4X/B529 SERIES FM3_EEPROM TYPE A/B/C APPLICATION NOTE ARM and Cortex-M3 are the trademarks of ARM Limited in the EU and other

More information

FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS E DSU-FR EMULATOR F 2 MC-16FX EXPANSION TRACE BOARD MB E OPERATION MANUAL

FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS E DSU-FR EMULATOR F 2 MC-16FX EXPANSION TRACE BOARD MB E OPERATION MANUAL FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS01-71076-1E DSU-FR EMULATOR F 2 MC-16FX EXPANSION TRACE BOARD MB2198-590-E OPERATION MANUAL PREFACE Thank you for purchasing the DSU-FR* 1 emulator F 2 MC* 2-16FX

More information

CE77 Series. Embedded array. Semicustom CMOS. DS Ea DESCRIPTION FEATURES FUJITSU MICROELECTRONICS DATA SHEET

CE77 Series. Embedded array. Semicustom CMOS. DS Ea DESCRIPTION FEATURES FUJITSU MICROELECTRONICS DATA SHEET FUJITSU MICROELECTRONICS DATA SHEET DS06-20112-2Ea Semicustom CMOS Embedded array CE77 Series DESCRIPTION The CE77 series 0.25 μm CMOS embedded array is a line of highly integrated CMOS ASICs featuring

More information

What Types of ECC Should Be Used on Flash Memory?

What Types of ECC Should Be Used on Flash Memory? What Types of ECC Should Be Used on Flash Memory? Application by Scott Chen 1. Abstract NOR Flash normally does not need ECC (Error-Correcting Code). On the other hand, NAND requires ECC to ensure data

More information

QUAD OPERATIONAL AMPLIFIER

QUAD OPERATIONAL AMPLIFIER FUJITSU SEMIONDUTOR DATA SHEET DS04-11107-3E LINEAR I QUAD OPERATIONAL AMPLIFIER MB3614 QUAD OPERATIONAL AMPLIFIER OPERATES FROM A SINGLE OR DUAL POWER SUPPLY The Fujitsu MB3614 is a Quad operational amplifier

More information

S1V3G340 External SPI-Flash Select Guide

S1V3G340 External SPI-Flash Select Guide S1V3G340 External SPI-Flash Select Guide Rev.1.00 NOTICE No part of this material may be reproduced or duplicated in any form or by any means without the written permission of Seiko Epson. Seiko Epson

More information

Connecting Spansion SPI Serial Flash to Configure Altera FPGAs

Connecting Spansion SPI Serial Flash to Configure Altera FPGAs Connecting SPI Serial Flash to Configure Altera s Application By Frank Cirimele 1. Introduction Altera s are programmable logic devices used for basic logic functions, chip-to-chip connectivity, signal

More information

The following document contains information on Cypress products.

The following document contains information on Cypress products. The following document contains information on Cypress products. Colophon The products described in this document are designed, developed and manufactured as contemplated for general use, including without

More information

Application Note MB Power/Duty Cycle Trade-off. Power-up using external voltage reference. Glitch-free analog output after power-up

Application Note MB Power/Duty Cycle Trade-off. Power-up using external voltage reference. Glitch-free analog output after power-up Application Note MB86065 Power/Duty Cycle Trade-off The need to use a high performance DAC, such as the 14-bit 1.3GSa/s MB86065, can be due to one or more reasons including, March 2008 Version 1.0 Power-up

More information

Corporate names revised in the documents

Corporate names revised in the documents Corporate names revised in the documents The Fujitsu Limited reorganized its LSI business into a wholly owned subsidiary, the Fujitsu Microelectronics Limited on March 21, 2008. The corporate names Fujitsu

More information

Quick Guide to Common Flash Interface

Quick Guide to Common Flash Interface Quick Guide to Common Flash Interface Application By: Frank Cirimele 1. Introduction Common Flash Interface, or CFI, is a standard introduced by the Joint Electron Device Engineering Council (JEDEC) to

More information

MB9BF500 Series FLASH PROGRAMMING MANUAL

MB9BF500 Series FLASH PROGRAMMING MANUAL FUJITSU SEMICONDUCTOR CONTROLLER MANUAL CM91-10102-2E FM3 32-BIT MICROCONTROLLER FLASH PROGRAMMING MANUAL For the information for microcontroller supports, see the following web site. http://edevice.fujitsu.com/micom/en-support/

More information

CM E FUJITSU SEMICONDUCTOR CONTROLLER MANUAL F 2 MC-16L/16LX EMULATION POD MB HARDWARE MANUAL

CM E FUJITSU SEMICONDUCTOR CONTROLLER MANUAL F 2 MC-16L/16LX EMULATION POD MB HARDWARE MANUAL FUJITSU SEMICONDUCTOR CONTROLLER MANUAL CM42-00411-2E F 2 MC-16L/16LX EMULATION POD MB2145-507 HARDWARE MANUAL F 2 MC-16L/16LX EMULATION POD MB2145-507 HARDWARE MANUAL FUJITSU LIMITED PREFACE Using the

More information

Fujitsu Semiconductor Releases New System Controller LSI with High-Performance Graphics for Automotive Applications

Fujitsu Semiconductor Releases New System Controller LSI with High-Performance Graphics for Automotive Applications Fujitsu Semiconductor Releases New System Controller LSI with High-Performance Graphics for Automotive Applications Yokohama, Japan, July 26, 2010 - today announced the forthcoming release of six products

More information

F 2 MC-8FX Family LQFP-48P (0.5 mm pitch) HEADER BOARD MB OPERATION MANUAL

F 2 MC-8FX Family LQFP-48P (0.5 mm pitch) HEADER BOARD MB OPERATION MANUAL FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS01-26013-1E F 2 MC-8FX Family LQFP-48P (0.5 mm pitch) HEADER BOARD MB2146-213 OPERATION MANUAL PREFACE Thank you for purchasing the LQFP-48P (0.5 mm pitch) * 1 header

More information

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp.

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. To all our customers Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. The semiconductor operations of Mitsubishi Electric and

More information

For S1C63 Family Flash microcontroller Multiple-Programming ROM Writer Software (GW63)

For S1C63 Family Flash microcontroller Multiple-Programming ROM Writer Software (GW63) For S1C63 Family Flash microcontroller Multiple-Programming ROM Writer Software (GW63) Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool

More information

Old Company Name in Catalogs and Other Documents

Old Company Name in Catalogs and Other Documents To our customers, Old Company Name in Catalogs and Other Documents On April 1 st, 2010, NEC Electronics Corporation merged with Renesas Technology Corporation, and Renesas Electronics Corporation took

More information

S1C17 Family EEPROM Emulation Library Manual

S1C17 Family EEPROM Emulation Library Manual S1C17 Family EEPROM Emulation Library Manual Rev.1.1 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering evaluation,

More information

CORAL P. MB86295-EB01 Rev CORAL P Evaluation Board. April Revision 1.3 Page Fujitsu Microelectronics Europe

CORAL P. MB86295-EB01 Rev CORAL P Evaluation Board. April Revision 1.3 Page Fujitsu Microelectronics Europe CORAL P MB86295-EB01 Rev. 5.0 April 2004 CORAL P Evaluation Board 1.3 Revision 1.3 Page 1 Revision Control Revision Number Date Description of changes 0.1 9/8/02 Initial Release 0.9 6/12/02 Jumper list

More information

FR-V/FR FAMILY SOFTUNE C/C++ CHECKER MANUAL for V5

FR-V/FR FAMILY SOFTUNE C/C++ CHECKER MANUAL for V5 FUJITSU SEMICONDUCTOR CONTROLLER MANUAL CM81-00310-4E FR-V/FR FAMILY SOFTUNE C/C++ CHECKER MANUAL for V5 FR-V/FR FAMILY SOFTUNE C/C++ CHECKER MANUAL for V5 FUJITSU LIMITED PREFACE Objectives Thank you

More information

Old Company Name in Catalogs and Other Documents

Old Company Name in Catalogs and Other Documents To our customers, Old Company Name in Catalogs and Other Documents On April 1 st, 2010, NEC Electronics Corporation merged with Renesas Technology Corporation, and Renesas Electronics Corporation took

More information

S5U1C31D50T1 Manual (S1C31D50 Evaluation Board)

S5U1C31D50T1 Manual (S1C31D50 Evaluation Board) CMOS 32-BIT SINGLE CHIP MICROCONTROLLER S5U1C31D50T1 Manual (S1C31D50 Evaluation Board) Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool

More information

Base Timer Channel (BT) Features. General Description. When to Use a PDL_BT Component 1.0

Base Timer Channel (BT) Features. General Description. When to Use a PDL_BT Component 1.0 1.0 Features Four operating modes 16-bit PWM Timer 16-bit PPG Timer 16/32-bit Reload Timer 16/32-bit PWC Timer Trigger generation for ADC conversion General The Peripheral Driver Library (PDL) Base Timer

More information

Renesas Starter Kit Sample Code for Cubesuite + Toolchain

Renesas Starter Kit Sample Code for Cubesuite + Toolchain APPLICATION NOTE RL78/G13 R01AN0965EG0100 Rev 1.00 Introduction Renesas Starter Kits (RSK) are supplied as complete development systems for the selected microcontroller. The kit includes an evaluation

More information

Old Company Name in Catalogs and Other Documents

Old Company Name in Catalogs and Other Documents To our customers, Old Company Name in Catalogs and Other Documents On April 1 st, 2010, NEC Electronics Corporation merged with Renesas Technology Corporation, and Renesas Electronics Corporation took

More information

Connecting EPSON Display Controllers to Topway LCD Panels

Connecting EPSON Display Controllers to Topway LCD Panels Connecting EPSON Display Controllers to Topway LCD Panels Document Number: Issue Date: 2012/04/23 SEIKO EPSON CORPORATION Rev. 1.0 Page 2 NOTICE No part of this material may be reproduced or duplicated

More information

Printer Driver. Installation Guide. November 2014 Version Copyright FUJITSU LIMITED

Printer Driver. Installation Guide. November 2014 Version Copyright FUJITSU LIMITED Printer Driver Installation Guide November 2014 Version 1.00 -i- Preface This document explains the procedure of the installation and the Confirmation of ZXP-7 Driver (Hereafter, it is written, "This

More information

S1C31 Family PA File Creation Tool Manual

S1C31 Family PA File Creation Tool Manual CMOS 32-BIT SINGLE CHIP MICROCONTROLLER S1C31 Family PA File Creation Tool Manual Rev.2.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is

More information

STA bit single chip baseband controller for GPS and telematic applications. Features

STA bit single chip baseband controller for GPS and telematic applications. Features 32-bit single chip baseband controller for GPS and telematic applications Data Brief Features Suitable for automotive applications ARM7TDMI 16/32 bit RISC CPU based host microcontroller. Complete embedded

More information

Unit: mm Max Max Max Min 5.06 Max Min ± ± 0.10

Unit: mm Max Max Max Min 5.06 Max Min ± ± 0.10 Unit: mm 19.20 20.32 Max 14 8 6.30 7.40 Max 1 1.30 7 2.39 Max 2.54 ± 0.25 0.48 ± 0.10 0.51 Min 2.54 Min 5.06 Max 0 15 0.25 7.62 + 0.10 0.05 Hitachi Code JEDEC EIAJ Weight (reference value) DP-14 0.97 g

More information

M3H Group(2) Application Note 12-bit Analog to Digital Converter (ADC-A)

M3H Group(2) Application Note 12-bit Analog to Digital Converter (ADC-A) 12-bit Analog to Digital Converter (ADC-A) Outlines This application note is a erence material for developing products using the 12-bit analog to digital converter (ADC) function of M3H Group (2). This

More information

MB85RC K (16 K 8) Bit I 2 C. Memory FRAM DS E DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET

MB85RC K (16 K 8) Bit I 2 C. Memory FRAM DS E DESCRIPTION FEATURES FUJITSU SEMICONDUCTOR DATA SHEET FUJITSU SEMICONDUCTOR DT SHEET DS05 13110 4E Memory FRM 128 K (16 K 8) Bit I 2 C MB85RC128 DESCRIPTION The MB85RC128 is a FRM (Ferroelectric Random ccess Memory) Stand-lone chip in a configuration of 16,384

More information

FUJITSU SEMICONDUCTOR. For further information please contact:

FUJITSU SEMICONDUCTOR. For further information please contact: FUJITSU SEMICONDUCTOR LIMITED Nomura Fudosan Shin-yokohama Bldg. 10-23, Shin-yokohama 2-Chome, Kohoku-ku okohama Kanagawa 222-0033, Japan Tel: +81-45-415-5858 http://jp.fujitsu.com/fsl/en/ IC For further

More information

Design Kit (for ANSOFT Designer TM / Nexxim TM ) User s Manual

Design Kit (for ANSOFT Designer TM / Nexxim TM ) User s Manual Technical Note Design Kit (for ANSOFT Designer TM / Nexxim TM ) User s Manual Document No. PX10401EJ03V0TN (3rd edition) Date Published December 2005 CP(K) NEC Compound Semiconductor Devices, Ltd. 2003,

More information

2008 Fujitsu Microelectronics Europe GmbH Production Page 1 of 12

2008 Fujitsu Microelectronics Europe GmbH Production Page 1 of 12 Application Note MB86065 Xilinx Virtex-5 FPGA Interface When driving high-speed data into a digital to analog converter (DAC) valid clock-to-data timing must be maintained regardless of variations in process,

More information

S1C17 Family Application Note S1C17 Series Boot Loader Sample Software

S1C17 Family Application Note S1C17 Series Boot Loader Sample Software S1C17 Family Application Note S1C17 Series Boot Loader Sample Software Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for

More information

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp.

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. To all our customers Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. The semiconductor operations of Mitsubishi Electric and

More information

FSA. Library Link. Rev.1.0

FSA. Library Link. Rev.1.0 FSA Library Object Link Manual Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering evaluation, demonstration,

More information

GNU17V3 Setup Guide. Rev.2.0

GNU17V3 Setup Guide. Rev.2.0 GNU17V3 Setup Guide Rev.2.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering evaluation, demonstration, or

More information

S1C17 Family Port Nested Interrupt Application Notes

S1C17 Family Port Nested Interrupt Application Notes S1C17 Family Port Nested Interrupt Application Notes Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering

More information

FUJITSU Software PRIMECLUSTER GLS for Windows. GLS Setup Guide for Cluster Systems 4.4

FUJITSU Software PRIMECLUSTER GLS for Windows. GLS Setup Guide for Cluster Systems 4.4 FUJITSU Software PRIMECLUSTER GLS for Windows GLS Setup Guide for Cluster Systems 4.4 B1FN-5996-01ENZ0(00) January 2017 Preface Purpose of this Manual This manual is intended to explain installation procedures

More information

S1C17 M01/W22/W23/W15 Self-Modifying Software (FLS) Manual

S1C17 M01/W22/W23/W15 Self-Modifying Software (FLS) Manual S1C17 M01/W22/W23/W15 Self-Modifying Software (FLS) Manual Rev.1.0 Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering

More information

Silicon Planar Zener Diode for Low Noise Application. Part No. Cathode Band Package Name Package Code HZ-L Series Navy blue DO-35 GRZZ0002ZB-A 7 B 2

Silicon Planar Zener Diode for Low Noise Application. Part No. Cathode Band Package Name Package Code HZ-L Series Navy blue DO-35 GRZZ0002ZB-A 7 B 2 Silicon Planar Zener Diode for Low Noise Application REJ3G182-3 Rev.3. Nov.6.27 Features Diode noise level of this series is approximately 1/3-1/1 lower than the HZ series. Low leakage, low zener impedance

More information

HD74AC240/HD74ACT240

HD74AC240/HD74ACT240 HD74AC240/HD74ACT240 Octal Buffer/Line Driver with 3-State Output Description The HD74AC240/HD74ACT240 is an octal buffer and line driver designed to be employed as a memory address driver, clock driver

More information

Setup Guide. FUJITSU Software. Serverview Infrastructure Manager Plug-in for. Microsoft System Center. Virtual Machine Manager 1.2

Setup Guide. FUJITSU Software. Serverview Infrastructure Manager Plug-in for. Microsoft System Center. Virtual Machine Manager 1.2 FUJITSU Software Serverview Infrastructure Manager Plug-in for Microsoft System Center Virtual Machine Manager 1.2 Setup Guide For Windows Server 2016 CA92344-2184-01 Dec, 2017 Preface Purpose This Setup

More information

Silicon Epitaxial Planar Zener Diode for Stabilized Power Supply. Type No. Mark Package Code HZS Series Type No. MHD B 7

Silicon Epitaxial Planar Zener Diode for Stabilized Power Supply. Type No. Mark Package Code HZS Series Type No. MHD B 7 Silicon Epitaxial Planar Zener Diode for Stabilized Power Supply Features REJ3G184-3Z (Previous: ADE-28-12B) Rev.3. Mar.11.24 Low leakage, low zener impedance and maximum power dissipation of 4 mw are

More information

1. Overview TPS-1 GUI Configuration Tool Procedure of configure TPS Error Code... 9

1. Overview TPS-1 GUI Configuration Tool Procedure of configure TPS Error Code... 9 APPLICATION NOTE TPS-1 R30AN0225EC0100 Rev.1.00 Introduction The objective of this document is to show you of how to use PC TPS-1. Since the typical configuration method involves several of software, it

More information

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp.

Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. To all our customers Regarding the change of names mentioned in the document, such as Hitachi Electric and Hitachi XX, to Renesas Technology Corp. The semiconductor operations of Mitsubishi Electric and

More information

1. Installation Creating the Project Workspace Opening Sample Code and Source Files Source Code Functionality...

1. Installation Creating the Project Workspace Opening Sample Code and Source Files Source Code Functionality... Introduction APPLICATION NOTE R01AN1789EG0100 Rev.1.00 Renesas Starter Kits (RSK) is supplied as complete development systems for the selected microcontroller. The kit includes an evaluation board, portable

More information

HSM107S. Silicon Schottky Barrier Diode for System Protection. ADE F(Z) Rev 6 Sep Features. Ordering Information.

HSM107S. Silicon Schottky Barrier Diode for System Protection. ADE F(Z) Rev 6 Sep Features. Ordering Information. Silicon Schottky Barrier Diode for System Protection ADE-208-058F(Z) Rev 6 Sep. 1998 Features Low V F and high efficiency. which is interconnected in series configuration is designed for protection from

More information

1. Opening the sample code workspace Loading the selected sample code project Opening Sample Code and Source Files...

1. Opening the sample code workspace Loading the selected sample code project Opening Sample Code and Source Files... Introduction APPLICATION NOTE R01AN1790EG0100 Rev.1.00 Renesas Starter Kits (RSK) is supplied as complete development systems for the selected microcontroller. The kit includes an evaluation board, portable

More information

HD74HC00. Quad. 2-input NAND Gates. Features. Pin Arrangement

HD74HC00. Quad. 2-input NAND Gates. Features. Pin Arrangement HD74HC00 Quad. 2-input NAND Gates Features High Speed Operation: t pd = 8.5 ns typ (C L = 50 pf) High Output Current: Fanout of 10 LSTTL Loads Wide Operating Voltage: V CC = 2 to 6 V Low Input Current:

More information

HD74HC174. Hex D-type Flip-Flops (with Clear) ADE (Z) 1st. Edition Sep Description. Features. Function Table

HD74HC174. Hex D-type Flip-Flops (with Clear) ADE (Z) 1st. Edition Sep Description. Features. Function Table Hex D-type Flip-Flops (with Clear) ADE-205-460 (Z) 1st. Edition Sep. 2000 Description This device contains 6 master-slave flip-flops with a common clock and common clear. Data on the D input having the

More information

M3H Group(1) Application Note. I 2 C Interface (I2C-B) MASTER/SLAVE

M3H Group(1) Application Note. I 2 C Interface (I2C-B) MASTER/SLAVE M3H Group(1) I 2 C Interface (I2C-B) MASTER/SLAVE Outlines This application note is a reference material for developing products using the Master/Slave function in I2C interface (I2C) functions of M3H

More information

STARTER KIT MB E

STARTER KIT MB E FUJITSU MICROELECTRONICS SUPPORT SYSTEM MCU-UM-500002-E-11 F²MC-8FX FAMILY 8-BIT MICROCONTROLLER MB95200H/210H SERIES STARTER KIT MB2146-410-01-E SETUP GUIDE PREFACE PREFACE Handling and use Thank you

More information

FUJITSU Software. Serverview Infrastructure Manager Plug-in for. Microsoft System Center. Operations Manager 1.2. Setup Guide. For Windows Server 2016

FUJITSU Software. Serverview Infrastructure Manager Plug-in for. Microsoft System Center. Operations Manager 1.2. Setup Guide. For Windows Server 2016 FUJITSU Software Serverview Infrastructure Manager Plug-in for Microsoft System Center Operations Manager 1.2 Setup Guide For Windows Server 2016 CA92344-2182-01 Dec, 2017 1 Preface Purpose This Setup

More information

HD74HC09. Quad. 2-input AND Gates (with open drain outputs) Features. Pin Arrangement

HD74HC09. Quad. 2-input AND Gates (with open drain outputs) Features. Pin Arrangement HD74HC09 Quad. 2-input AND Gates (with open drain outputs) Features High Speed Operation: t pd = 8 ns typ (C L = 50 pf) High Output Current: Fanout of 10 LSTTL Loads Wide Operating Voltage: V CC = 2 to

More information

S1V30080 Series I2C Interface Sample Program Specifications

S1V30080 Series I2C Interface Sample Program Specifications S1V30080 Series I2C Interface Sample Program Specifications Rev.1.00 NOTICE No part of this material may be reproduced or duplicated in any form or by any means without the written permission of Seiko

More information

FUJITSU Software. Infrastructure Manager Plug-in for Microsoft. System Center. Operations Manager 1.2. Setup Guide. For Windows Server 2016 / 2019

FUJITSU Software. Infrastructure Manager Plug-in for Microsoft. System Center. Operations Manager 1.2. Setup Guide. For Windows Server 2016 / 2019 FUJITSU Software Infrastructure Manager Plug-in for Microsoft System Center Operations Manager 1.2 Setup Guide For Windows Server 2016 / 2019 CA92344-2546-02 October, 2018 1 Contents Preface... 3 Purpose...

More information

Setting Oscillation Stabilization Wait Time of the main clock (CLKMO) and sub clock (CLKSO)

Setting Oscillation Stabilization Wait Time of the main clock (CLKMO) and sub clock (CLKSO) 1.0 Features Selecting Clock mode Internal Bus Clock Frequency Division Control PLL Clock Control Setting Oscillation Stabilization Wait Time of the main clock (CLKMO) and sub clock (CLKSO) Interrupts

More information

F 2 MC-8FX Family QFP-100P (0.65 mm pitch) HEADER BOARD MB OPERATION MANUAL

F 2 MC-8FX Family QFP-100P (0.65 mm pitch) HEADER BOARD MB OPERATION MANUAL FUJITSU SEMICONDUCTOR SUPPORT SYSTEM SS01-26010-1E F 2 MC-8FX Family QFP-100P (0.65 mm pitch) HEADER BOARD MB2146-251 OPERATION MANUAL PREFACE Thank you for purchasing the QFP-100P (0.65 mm pitch) * 1

More information

SG-8506CA-EVB Preliminary

SG-8506CA-EVB Preliminary SG-8506CA Evaluation Board Manual SG-8506CA-EVB Preliminary Evaluation board/kit and Development tool important notice 1. This evaluation board/kit or development tool is designed for use for engineering

More information

STEVAL-PCC010V1. ST802RT1A Ethernet PHY demonstration board with STM32F107 controller add-on board. Features. Description

STEVAL-PCC010V1. ST802RT1A Ethernet PHY demonstration board with STM32F107 controller add-on board. Features. Description ST802RT1A Ethernet PHY demonstration board with STM32F107 controller add-on board Data brief Features ST802RT1A Ethernet PHY demonstration board: ST802RT1A fast Ethernet physical layer transceiver On-board

More information

YASKAWA AC Drive-J1000 Option. RS-232C Interface. Technical Manual Type SI-232/J, SI-232/JC RS-232C SI-232/J, SI-232/JC J1000

YASKAWA AC Drive-J1000 Option. RS-232C Interface. Technical Manual Type SI-232/J, SI-232/JC RS-232C SI-232/J, SI-232/JC J1000 YASKAWA AC Drive-J1000 Option RS-232C Interface Technical Manual Type SI-232/J, SI-232/JC To properly use the product, read this manual thoroughly and retain for easy reference, inspection, and maintenance.

More information

RL78 Family Flash Self-Programming Library Type01 Ver.2.21 for the CC-RL compiler

RL78 Family Flash Self-Programming Library Type01 Ver.2.21 for the CC-RL compiler RL78 Family Flash Self-Programming Library Type01 Ver.2.21 for the CC-RL compiler R20UT3470EJ0100 Rev.1.00 Thank you for using the RL78 Family Flash Self-Programming Library Type01 Ver.2.21 for the CC-RL

More information

R-IN, RZ/T1, TPS-1 Groups

R-IN, RZ/T1, TPS-1 Groups Outline APPLICATION NOTE R01AN3545EJ0100 Rev.1.00 This application note explains the procedure for running evaluation boards with on-chip microcontrollers of the R-IN, RZ/T1, and TPS-1 groups in connection

More information

1SS286. Silicon Schottky Barrier Diode for Various Detector, High Speed Switching

1SS286. Silicon Schottky Barrier Diode for Various Detector, High Speed Switching Silicon Schottky Barrier Diode for Various Detector, High Speed Switching ADE-208-302A (Z) Rev. 1 Sep. 1995 Features Very low reverse current. Detection efficiency is very good. Small glass package (MHD)

More information

HD74HC74. Dual D-type Flip-Flops (with Preset and Clear)

HD74HC74. Dual D-type Flip-Flops (with Preset and Clear) Dual D-type Flip-Flops (with Preset and Clear) ADE-205-421 (Z) 1st. Edition Sep. 2000 Description The flip-flop has independent data, preset, clear, and clock inputs and and outputs. The logic level present

More information