RAM Testing Algorithms for Detection Multiple Linked Faults

Size: px
Start display at page:

Download "RAM Testing Algorithms for Detection Multiple Linked Faults"

Transcription

1 RAM Testing Algorithms for Detection Multiple Linked Faults V.G. Mikitjuk, V.N. Yarmolik, A.J. van de Goor* Belorussian State Univ. of Informatics and Radioelectronics, P.Brovki 6, Minsk, Belarus *Delft Univ. of Technology, P.O.Box 5031, 2600 GA Delft, The Netherlands Abstract Many fault models for RAMs and tests for faults of these models are available. In most cases these tests allow for the detection of single faults only. This paper contains fault coverage analysis of march tests which detect multiple faults. It is shown there are faults which are not detected by any of the existing march tests. So we propose new test algorithms which cover multiple faults and particularly are effective to detect linked faults while, at the same time, having short test time. Key words: traditional RAM fault models, multiple linked faults, march tests, inductive fault analysis. 1. Introduction Testing Random Access Memories for all possible failures is not feasible. We have to restrict the class of faults to be considered. This restricted class is called a fault model. Many fault models for RAMs have been proposed. In this paper we consider traditional fault models and tests which allow to detect not only single but also multiple faults. We choose only march test algorithms which require test times on the order of n (where n is the number of bits on the memory). Algorithms which require test time on order of n 2 or n 3/2 are impractical for modern high density RAM chips (16 megabits and more). Memory faults can be single or multiple. Multiple faults additionally can be linked or unlinked. A fault is linked when that fault may influence the behaviour of other faults [1]. A fault is unlinked when that fault does not influence the behaviour of other faults. In [1,2] it is shown that faults are linked when they affect the same cell. The "link" between faults can cause the test not to find any faults; this effect is called masking. We show that not all linked multiple faults are detected by existing tests. So we propose new effective algorithms for testing linked faults. We prove that new algorithms have higher fault coverage than existing march tests for linked multiple faults. Then, we consider fault models based on physical defects analysis [3,4] and show that the new tests are effective for these faults too. 2. Concept of march tests Many types of tests for RAMs have been proposed in the past. Currently, one family of the tests, called march tests [5,6], has proven to be superior for test time and simplicity of the algorithms. A march test consists of a sequence of march elements. A march element consists of a sequence of operation applied to each cell in the memory, before proceeding to the next cell. An operation can consist of writing a 0 into a cell (w0), writing a 1 (w1), reading a cell with expected value (r0 and r1). The address of the next cell is determined by the address order. Two exist: an increasing address order from address 0 to n-1 denoted by the symbol; and a decreasing address order denoted by the symbol. When the address order is irrelevant, the is used. 3. Traditional RAM fault models For the development of a fault model, a RAM is divided into three blocks: 1) The address decoder. 2) The memory cell array. 3) The R/W logic Faults in the memory cell array. Many different faults can occur in a memory cell array. The following notation [2,7] will help to describe the faults: - denotes a w1 operation to a cell containing a 0; - denotes a w0 operation to a cell containing a 1; ED&TC /96 $ IEEE

2 - denotes a wx operation to a cell containing an x; - denotes any operation; Ax Cx Ax Cx Ax Cx <S/F> - denotes a fault in a single cell; Ax Cx S - describes the value/operation sensitizing the fault; Ay Cy Ay Cy Ay Cy S {0,1,,, }; S T - says that the sensitization effect appears after a Failt A (1+2) Fault B (1+3) Fault C (2+4) Fault D (3+4) time T; F - describes the faulty value of the cell; F {0,1} Figure 1. Combinations of address decoder faults <S 1,S 2,..., S m-1 ; F> - denotes a fault involving m cells. S 1, S 2,..., S either fault 2 or fault 3 must also occur. With fault 2, at m-1 describes the conditions of the m-1 cells least fault 1 or fault 4 occur; with fault 3, at least fault 1 required to sensitise the fault in the cell m, the faulty or 4; with fault 4, fault 2 or 3. These four fault value is denoted by F. combinations are shown in Figure 1 [2]. A stuck-at fault (SAF) means that the logic value of the cell can not be changed by any action on the cell or by influences from other cells. The notation < /1> denotes an SA1 fault and < /0> - SA0 fault. A memory cell with a transition fault (TF) fails to undergo at least one of the transitions 0 1(< /0>) or 1 0(< /1>). An inversion coupling fault (CF in ) [2,5-7] involves two cells i and j; the fault is sensitised by a transition write operation to a particular cell j. Cell j is called the coupling cell and inverts the contents of cell i, which is called the coupled cell. Two different CF ins can be recognized: the < ; > and the < ; >. An idempotent coupling fault (CF id ) [2,5-7] involves two cells i and j. The fault is sensitized by a transition write operation to a cell j, which forces the contents of another cell i to a fixed value (0 or 1). In this paper we consider CFs for which one cell may influence to another cell by one way only. So four different CF ids can be recognised: < ;0>, < ;1>, < ;0>, < ;1>. Linked faults may occur between faults of the same type or between faults of the different types. The SAF is always detected even when TFs or CFs are linked with the SAF [2]. So we have to consider next linked faults in the memory array: TF-CF, CF id -CF id, CF id -CF in, CF in - CF in. These linked faults can involve not only two but any number of faults of these types. Faults A and B are inherently unlinked: it is not possible to mask the fault when one reads A x Faults C as well as fault D may be linked. AFs linked and unlinked are detected by march tests for the memory cell array if they satisfy the conditions of Table 1 [2]. If the technology of RAM is known (i.e. whether the memory device returns the AND function or the OR function when multiple cells are read), the conditions of Table 1 can be simplified. The simplified conditions are depicted in Table 2 [2] Faults in the R/W logic The R/W logic passes the data information from I/O pins to the memory array and vice versa. All faults in the R/W logic can be regarded as faults in the memory array [2]. It means that no separate tests for read/write logic are required. 4. March tests for detection linked multiple faults. There are many march tests optimized for a particular set of functional faults [2]. Descriptions of their algorithms are presented in the Table 3. Table 4 contains fault coverage analysis of these march tests. The columns CF in -CF in, CF in -CF id in this table are empty. It says that there is a problem to detect these faults Address decoder faults (AFs) Table 1. Conditions for detecting AFs Functional faults that occur in the address decoder can Condition March element be the next [2]: 1 (rx,..., wx ) Fault 1. With a certain address, no cell will be accessed. 2 Fault 2. A certain cell will not be accessible. (rx,..., wx) Fault 3. With a certain address, multiple cells are accessed simultaneously. Table 2. Conditions for known technology. Fault 4. A certain cell can be accessed with multiple Cond. OR technology AND technology addresses. 1 (..., w0);(r0, (..., w1);(r1,...,w0) Because there are as many cells as addresses, none of the above faults can stand alone. When fault 1 occurs 2...,w1) (..., w1);(r1,...) (..., w0);(r0,...)

3 Table 3. March test algorithms with the expected state as result. Thus no fault can be Test Description of algorithm detected. So March M also cannot detect the faults which satisfy MATS+ (w0); (ro,w1); (r1,w0) theorem 1. But these faults constitute only small part of all linked inversion CFs. So, in Table 4 and further, by MATS++ (w0); (ro,w1); (r1,w0,r0) linked CF ins we will mean faults which do not satisfy theorem 1. March X (w0); (ro,w1); (r1,w0); (r0) Table 5 contains a description of the new 16n-length test algorithm. This test is shorter than March B (the most March C- (w0); (ro,w1); (r1,w0); (ro,w1); universal march for traditional RAM faults) and has higher fault coverage. It can be proven that March M (r1,w0); (r0) detects all these faults. March A (w0); (r0,w1,w0,w1); (r1,w0,w1); Theorem 2. March M detects all SAFs. (r1,w0,w1,w0); Proof : it is easy to show that March M detects all SAFs. (r0,w1,w0); A 0 and 1 is written into and read from every memory cell March B (w0); (r0,w1,r1,w0,r0,w1); (for example in M1), so SAF0 and SAF1 are detected. (r1,w0,w1); (r1,w0,w1,w0); (r0,w1,w0); Theorem 3. March M detects all TFs and TFs linked with CFs. Proof : these faults are detected by M1 and M5. M1 The new test March M which we present in this paper detects all linked multiple faults under the fault models. Note that, in general, not every linked CF in can be generates an transition in every cell and immediately thereafter the cell is read, so < /0> faults are detected. As no other cells are written in between, the TF cannot be detected by march tests. To simplify the discussion, the masked by a CF. < /1> faults will be detected by M5 following notation will be used: <S;F> - fault in which the coupled cell has a higher address then a coupling cell; and cannot be masked by a CF for reasons similar to those for < /0> TFs. Theorem 4. March M detects all CF ids. <S;F> - fault in which the coupled cell has a lower address then a coupling cell. So there are four different types of inversion CFs: < ; >, < ; >, < ; >, < ; >. Theorem 1. Linked inversion CFs are not detected by any march test if they contain an even number (or 0) of inversion CFs of each type. Proof : if there are these faults, any march element will Proof : march elements M1 and M5 which contain 2 write operations and the following march elements M2 and M6 which contain only one read operation allow to detect every CF id. The address order of these march elements is irrelevant. M1 sensitizes and then detects any faults < ;1>, < ;1>. Moreover M1 sensitizes any faults < ;1>, < ;1> which afterwards are detected by r0 operations in M2. Note: if M1 would have the address order, it generate an even number of inversions in the coupled cell Table 4. Fault coverage of march tests. Test Detected faults MATS+ AF SAF TF CF in CF id TF-CF CF id - CF in - CF in - CF id CF in CF id MATS++ March X March C- March A March B

4 Proof : as follows from theorem 1 linked CF Table 5. Test March M. in can be detected by march tests only if it involves an odd number March element Description of faults if only one from four types of CF ins. M0 w0 March element M3 is surrounded by march elements M1 r0,w1,r1,w0 M2 and M4 which do not generate CF ins, what rules out M2 r0 the possibility of compensation one another CF ins which M3 r0,w1 are initiated by neighbouring march elements. M3 M4 r1 sensitizes and detects any faults < ; > and linked CF ins which involve an odd number of < ; >. M5 r1,w0,r0,w1 Moreover M3 sensitizes < ; > faults and linked CF M6 r1 ins which involve an odd number of < ; > These faults M7 r1,w0 are detected by read operation in M4. Then it remains to be proved that March M detects < sensitizes and then detects any faults < ;1>, < ;1>, ; > and < ; > CF ins and linked CF ins which involve and sensitizes any faults < ;1>, < ;1> which then are an odd number of < ; > or. < ; > faults (but do not detected by M2. Similarly we can show that faults < involve an odd number of < ; > faults as they detects by ;0>, < ;0> and < ;0>, < ;0> are detected by march M3 and M4). These faults will be detected by march elements M5 and M6. element M5 and M6. < ; > faults will be sensitized Theorem 5. March M detects every CF id -CF id. and detected by M5 but < ; > faults will be sensitized Proof : for detection of every linked CF ids the address by M5 and then will be detected by the read operation in orders of march elements M1 and M5 have to coincide as M6. in table 5. In this case one of the CF ids which are linked will be always detected : - the CF id with the shortest distance between a coupled and a coupling cell if the coupled cell has a larger address than the coupling one ( < ;1>, < ;1> are detected by M1; < ;0>, < ;0> are detected by M4); - the CF id with the largest distance between a coupling and a coupled cells if the coupled cell has a smaller address than the coupling one ( < ;1>, < ;1> are detected by M1 and M2; < ;0>, < ;0> are detected by M5 and M6). These faults never can be masked by another CF id in these march elements and so they will be detected. Theorem 6. March M detects every CF in -CF id. Proof : march elements M1, M2 and M5, M6 detect every CF in -CF id. < ;1> and < ;1> CF ids are detected by M1 and they can be masked in this march element only by an odd number of < ; > or < ; > CF ins, if the the coupling cells of these CF ins have larger addresses than the coupling cell of the CF id. But such linked faults will be detected by M5. < ;1> and < ;1> CF ids are sensitized by M1 and are detected by M2; they can be masked only by an odd number of < ; > or < ; > CF ins, if the coupling cells of these CF ins have larger addresses than the coupling cell of the CF id. But such linked faults will be detected by M5 and M6. Similarly we can show that CF ids < ;0>, < ;0> and < ;0>, < ;0>, if they are masked by CF ins in march elements M5 and M6 will always be detected by march elements M1 and M2. Theorem 7. March M detects all CF ins and linked CF ins. Theorem 8. March M detects all AFs. Proof : March elements M3 and M7 satisfy the condition of table 1, so AFs are detected. We have proved that the new 16n test detects all linked multiple faults. As follows from proofs of theorems 2-8 march element M7 is required only for the detection of AFs. So we present two new 14n algorithms March M-- OR and March M-- AND which allow to detect all linked multiple faults when the technology of the RAM is known. Descriptions of their algorithms are presented below. March M-- OR : (w0); (r0,w1,r1,w0); (r0); (r0,w1); (r1); (r1,w0,r0,w1); (r1) March M-- AND : (w1); (r1,w0,r0,w1); (r1); (r1,w0); (r0); (r0,w1,r1,w0); (r0) Theorems 2-7 prove that all linked multiple faults in the memory array are detected by the new 14n tests. Both these algorithms satisfy the conditions of Table 2, so they allow to detect AFs if the technology is known. 5. Development of the new tests to detect faults based on real phisi-cal defects The traditional fault models were largely based upon the mathematics and not on the actual manufacturing defects. Dekker et. al. [3] applied defect oriented inductive fault analysis (IFA) to evolve a SRAM fault models. Spot defects were the basis of this analysis.

5 Oberle [4] attempted a similar approach on DRAMs. (w0); (r0,w1,r1,w0); Del; (r0); (r0,w1); (r1); Efficient fault models of the circuits can be derived from (r1,w0,r0,w1); Del; (r1) this analysis: March M-- AND : 1. A memory cell is stuck-at 0 or stuck-at 1. (w1); (r1,w0,r0,w1); Del; (r1); (r1,w0); (r0); 2. A memory cell is stuck-open. (r0,w1,r1,w0); Del; (r0) 3. A memory cell is coupled to another cell. 4. A memory cell has multiple access faults. 5. A memory cell suffers from a data retention fault. As we see two new fault models there are in this list. A stuck-open fault (SOF) means that a cell cannot be accessed, perhaps because of an open word line [3,7]. When a read operation is performed on a cell, the differential sense amplifier (SA) has to sense a voltage difference between the bit lines of that cell. In the case of SOF, both bit lines will have the same voltage level; consequently the output value produced by the sense amplifier depends on the way it is implemented. If the SA is combinational or if the SA has only a single input, it will pass a defined logical value to the output pin. In this case a stuck-open fault will be detected as if it was a stuck-at fault. However, some designs of sense amplifiers include a latch in the read path. Then a SOF may have the effect that the latch is not update because the voltage difference between the bit lines is too small. The previous output value is produced as the output value for the SOF. Dekker et al. [3] highlighted the problem of stuck-open detectability for sequential R/W logic. They suggested that there must be a march element in which the value x and the value x are read from a cell, and another, or possibly the same, march element, where value x and the value x are read from a cell. As march elements M1 and M5 in the new tests satisfy these conditions so tests which we presented allow to detect SOFs. It is easy to see that SOF is always detected even if it linked. A data retention fault (DRF) occurs when a cell fails to retain its logical value after some period of time [3]. Two different DRFs can be recognized (both may be simultaneously present in a single cell): <1 T /0> and <0 T /1>. When both are present in one cell, the cell behaves as if it contains an SOF (because there will not be a voltage difference between bit lines) [7]. Any march test can be extended to cover DRFs [7]. The detection of a DRF requires that a memory cell be brought into one of its logic states. A certain time (called delay time) must pass while DRF develops. Thereafter the contents of the cell are verified. This test must be repeated with the inverse logic value stored into the cell. So below we present a development of the new tests to detect DRFs. March M: (w0); (r0,w1,r1,w0); Del; (r0); (r0,w1); (r1); (r1,w0,r0,w1); Del; (r1); (r1,w0). March M-- OR : The Del elements represent the delay time which one must wait before applying the next march element. The amount of time to wait depends on the amount of charge stored in the capacitor of the node and magnitude of the leakage current. For example the delay time for the Philips 8k8 design can be up 100ms [3]. As our tests have march elements which contain only a read operation (M2 and M6) there is no need for the addition of a special data retention test as in [3,7]. In our case fault masking can not occur. 6. Conclusion In this paper we have presented new efficient march tests for RAMs. These tests allow to detect not only single but also multiple linked faults under the fault models. March M is the most universal test algorithm which detects 100% of the faults when the RAM technology is unknown. If the RAM technology is known March M-- OR or March M-- AND may be applied. The proposed algorithms show excellent features in both test time and fault coverage. They are shorter than another algorithms for linked faults and have higher fault coverage. Moreover new tests allow to detect faults based on real phisical defects with minimal overheads. References 1. C.A. Papachristou and N.B.Saghal, "An Improved Method for Detecting Functional Faults in Random Access Memories", IEEE Trans. Computers, Vol. C-34, No. 2, 1985, pp A.J. van de Goor, Testing Semiconductor Memories, Theory and Practice, John Wiley & Sons, Chichester, UK, R.Dekker, F.Beenker and L.Thijssen, "Fault Modelling and Test Algorithm Development for Static Random Access Memories", Proc. of Int. Test Conf., pp , H.D.Oberle and P.Muhmenthaler, "Test Pattern-Development and Evaluation for DRAMs with Fault Simulator RAMSIM", Proc. of Int. Test Conf., pp , D.S Suk and S.M.Reddy, "A March Test for Functional Faults in Semiconductor Random-Access Memories", IEEE Trans. Computers, Vol. C-30, No.12, 1981, pp M.Marinescu, "Simple and Efficient Algorithms for Functional RAM Testing", Proc. IEEE Int. Test Conf., 1982, pp A.J. van de Goor, "Using March Tests to Test SRAMs", Design & Test of Computers, Vol. 10, No.1, 1993, pp.8-14

Diagnostic Testing of Embedded Memories Using BIST

Diagnostic Testing of Embedded Memories Using BIST Diagnostic Testing of Embedded Memories Using BIST Timothy J. Bergfeld Dirk Niggemeyer Elizabeth M. Rudnick Center for Reliable and High-Performance Computing, University of Illinois 1308 West Main Street,

More information

A Universal Test Pattern Generator for DDR SDRAM *

A Universal Test Pattern Generator for DDR SDRAM * A Universal Test Pattern Generator for DDR SDRAM * Wei-Lun Wang ( ) Department of Electronic Engineering Cheng Shiu Institute of Technology Kaohsiung, Taiwan, R.O.C. wlwang@cc.csit.edu.tw used to detect

More information

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM

Complex test pattern generation for high speed fault diagnosis in Embedded SRAM Complex test pattern generation for high speed fault diagnosis in Embedded SRAM 1 Prasanna Kumari P., 2 Satyanarayana S. V. V., 3 Nagireddy S. 1, 3 Associate professor, 2 Master of Engineering, Teegala

More information

The Effectiveness of Scan Test and its New Variants

The Effectiveness of Scan Test and its New Variants The Effectiveness of Scan Test and its New Variants Ad J. van de Goor Said Hamdioui Zaid Al-Ars Delft University of Technology; Faculty of Electrical Engineering, Mathematics and Computer Science Laboratory

More information

International Journal of Digital Application & Contemporary research Website: (Volume 1, Issue 7, February 2013)

International Journal of Digital Application & Contemporary research Website:   (Volume 1, Issue 7, February 2013) Programmable FSM based MBIST Architecture Sonal Sharma sonal.sharma30@gmail.com Vishal Moyal vishalmoyal@gmail.com Abstract - SOCs comprise of wide range of memory modules so it is not possible to test

More information

A Survey on Dram Testing and Its Algorithms

A Survey on Dram Testing and Its Algorithms RESEARCH ARTICLE OPEN ACCESS A Survey on Dram Testing and Its Algorithms K.Manju Priya 1, M. Menaka 2 Research Scholar 1, Assistant professor 2 ECE Department (ME VLSI DESIGN) SVS College of Engineering,

More information

Testing Static and Dynamic Faults in Random Access Memories

Testing Static and Dynamic Faults in Random Access Memories Testing Static and Dynamic Faults in Random Access Memories Said Hamdioui 1 2 Zaid Al-Ars 2 Ad J. van de Goor 2 1 Intel Corporation, 2200 Mission College Boulevard, Santa Clara, CA 95052 2 Delft University

More information

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST)

Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Online Testing of Word-oriented RAMs by an Accumulator-based Compaction Scheme in Symmetric Transparent Built-In Self Test (BIST) Sharvani Yedulapuram #1, Chakradhar Adupa *2 # Electronics and Communication

More information

SRAM Delay Fault Modeling and Test Algorithm Development

SRAM Delay Fault Modeling and Test Algorithm Development SRAM Delay Fault Modeling and Test Algorithm Development Rei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, and Cheng-Wen Wu Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National

More information

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog

Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Modeling And Simulation Of Microcode Based Asynchronous Memory Built In Self Test For Fault Detection Using Verilog Amruta P. Auradkar # and Dr. R. B. Shettar * # M.Tech.,2 nd year, Digital Electronics,

More information

Modeling Techniques and Tests for Partial Faults in Memory Devices

Modeling Techniques and Tests for Partial Faults in Memory Devices Modeling Techniques and Tests for Partial Faults in Memory Devices Zaid Al-Ars Ad J. van de Goor Section Computer Engineering, Faculty of Information Technology and Systems Delft University of Technology,

More information

DRAM Specific Approximation of the Faulty Behavior of Cell Defects

DRAM Specific Approximation of the Faulty Behavior of Cell Defects DRAM Specific Approximation of the Faulty Behavior of Cell Defects Zaid Al-Ars Ad J. van de Goor Section CE, Faculty of Information Technology and Systems Delft University of Technology, Mekelweg 4, 2628

More information

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan

Advanced Reliable Systems (ARES) Laboratory. National Central University Jhongli, Taiwan Chapter 7 Memory Testing Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jhongli, Taiwan Outline Importance of Embedded Memories RAM

More information

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory

A Parametric Design of a Built-in Self-Test FIFO Embedded Memory A Parametric Design of a Built-in Self-Test FIFO Embedded Memory S. Barbagallo, M. Lobetti Bodoni, D. Medina G. De Blasio, M. Ferloni, F.Fummi, D. Sciuto DSRC Dipartimento di Elettronica e Informazione

More information

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection *

March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * March Tests Improvement for Address Decoder Open and Resistive Open Fault Detection * Luigi Dilillo Patrick Girard Serge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique

More information

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms

Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms IJCSI International Journal of Computer Science Issues, Vol. 7, Issue 3,. 2, May 2010 36 Modeling and Simulation of Microcode-based Built-In Self Test for Multi-Operation Memory Test Algorithms Dr. R.K.

More information

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories*

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories* Efficient Test of Dynamic Read Destructive Faults in RAM Memories* Luigi Dilillo Patrick Girard erge Pravossoudovitch Arnaud Virazel Laboratoire d Informatique, de Robotique et de Microélectronique de

More information

A BIST Architecture for Testing LUTs in a Virtex-4 FPGA. Priyanka Gadde

A BIST Architecture for Testing LUTs in a Virtex-4 FPGA. Priyanka Gadde A Thesis entitled A BIST Architecture for Testing LUTs in a Virtex-4 FPGA by Priyanka Gadde Submitted to the Graduate Faculty as partial fulfillment of the requirements for the Master of Science Degree

More information

Concept of Memory. The memory of computer is broadly categories into two categories:

Concept of Memory. The memory of computer is broadly categories into two categories: Concept of Memory We have already mentioned that digital computer works on stored programmed concept introduced by Von Neumann. We use memory to store the information, which includes both program and data.

More information

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair

Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair Design and Implementation of Microcode based Built-in Self-Test for Fault Detection in Memory and its Repair C. Padmini Assistant Professor(Sr.Grade), ECE Vardhaman college of Engineering, Hyderabad, INDIA

More information

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY

OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY http:// OPTIMIZING MARCH ALGORITHM FOR TESTING EMBEDDED MEMORY: A CASE STUDY 1 Nitin Sharma, 2 Dr. Pradeep Kumar, 3 Mr. Sunil Kumar ASET, AMITY UNIVERSITY, Noida (India) ABSTRACT The increase in integrity

More information

An Efficient Parallel Transparent Diagnostic BIST

An Efficient Parallel Transparent Diagnostic BIST An Efficient Parallel Transparent Diagnostic BIST D. C. Huang and W. B. Jone Department of CS & IE, National Chung-Cheng University, Taiwan, R.O.C. Abstract- In this paper, we propose a new transparent

More information

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC

Implementation of FSM-MBIST and Design of Hybrid MBIST for Memory cluster in Asynchronous SoC Implementation of FSM-MBIST and Design of Hybrid MBIST for cluster in Asynchronous SoC Lakshmi H R BNM Institute of technology Varchaswini R BNM Institute of technology Yasha Jyothi M Shirur BNM Institute

More information

DFT for Regular Structures

DFT for Regular Structures DFT for Regular Structures Regular Structure Fault Models RAM BIST Architectures ROM & PLA BIST Architectures Bypassing During BIST Benefits & Limitations C. Stroud 11/06 BIST for Regular Structures 1

More information

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN)

Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Scalable Controller Based PMBIST Design For Memory Testability M. Kiran Kumar, G. Sai Thirumal, B. Nagaveni M.Tech (VLSI DESIGN) Abstract With increasing design complexity in modern SOC design, many memory

More information

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller

A VLSI Implementation of High Speed FSM-based programmable Memory BIST Controller Quest Journals Journal of Electronics and Communication Engineering Research ISSN:2321-5941 Volume1 ~ Issue 2 (2013) pp: 01-06 www.questjournals.org Research Paper A VLSI Implementation of High Speed FSM-based

More information

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks

Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Evaluation of FPGA Resources for Built-In Self-Test of Programmable Logic Blocks Charles Stroud, Ping Chen, Srinivasa Konala, Dept. of Electrical Engineering University of Kentucky and Miron Abramovici

More information

FPGA Implementation of ALU Based Address Generation for Memory

FPGA Implementation of ALU Based Address Generation for Memory International Journal of Emerging Engineering Research and Technology Volume 2, Issue 8, November 2014, PP 76-83 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) FPGA Implementation of ALU Based Address

More information

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR).

An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). An enhanced barrel shifter based BIST scheme for word organized RAMs (EBBSR). M.leela vinoth krishnan Depatment of Electronics and Communication, CEG-Anna university, Chennai, INDIA. Krishnan7_ece@yahoo.co.in.

More information

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN

International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October ISSN International Journal of Advancements in Research & Technology, Volume 2, Issue 10, October-2013 31 FPGA based complex test pattern generation for high speed fault diagnosis in memory blocks S. Charitha

More information

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool

Performance Analysis, Designing and Testing 512 Bit Sram Memory Chip Using Xilinx/Modelsim Tool Performance Analysis, Designing and Testing 512 Bit Sram emory Chip Using Xilinx/odelsim Tool onika Solanki* Department of Electronics & Communication Engineering, B Engineering College, Jodhpur, Rajasthan

More information

Functional Testing of Modern Microprocessors

Functional Testing of Modern Microprocessors Functional Testing of Modern Microprocessors Th.J.W. Verhallen and A.J. van de Goor Delft University of Technology, Department of Electrical Engineering P.O. Box 5031 2600 GA Delft, The Netherlands Abstract:

More information

Test and Testability Techniques for Open Defects in RAM Address Decoders

Test and Testability Techniques for Open Defects in RAM Address Decoders Test and Testability Techniques for Open Defects in RM ddress Decoders Manoj Sachdev WY 4.1; Philips Research Laboratories, Prof. Holst laan 4; 5656 Eindhoven; The Netherlands; email: sachdev@natlab.research.philips.com

More information

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2

Efficient BISR strategy for Embedded SRAM with Selectable Redundancy using MARCH SS algorithm. P. Priyanka 1 and J. Lingaiah 2 Proceedings of International Conference on Emerging Trends in Engineering & Technology (ICETET) 29th - 30 th September, 2014 Warangal, Telangana, India (SF0EC009) ISSN (online): 2349-0020 Efficient BISR

More information

Multi-path Routing for Mesh/Torus-Based NoCs

Multi-path Routing for Mesh/Torus-Based NoCs Multi-path Routing for Mesh/Torus-Based NoCs Yaoting Jiao 1, Yulu Yang 1, Ming He 1, Mei Yang 2, and Yingtao Jiang 2 1 College of Information Technology and Science, Nankai University, China 2 Department

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 Course Outline Design for Test of Digital Systems TDDC33 Erik Larsson Department of Computer Science Introduction; Manufacturing, Wafer sort, Final test, Board and System Test, Defects, and Faults Test

More information

Importance of Dynamic Faults for New SRAM Technologies

Importance of Dynamic Faults for New SRAM Technologies Importance of ynamic Faults for New SRAM Technologies Said Hamdioui Rob Wadsworth ohn elos Reyes Ad. van de oor elft University of Technology, Faculty of Information Technology and Systems Computer Engineering

More information

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM

EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM EFFICIENT MEMORY BUILT - IN SELF TEST FOR EMBEDDED SRAM USING PA ALGORITHM G.PRAKASH #1, S.SARAVANAN #2 #1 M.Tech, School of Computing #2 Assistant Professor, SASTRA University, Thanjavur. #1 Prakashganesh.be@gmail.com,

More information

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY

POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY POWERFUL BISR DESIGN FOR EMBEDDED SRAM WITH SELECTABLE REDUNDANCY 1 K Naveen, 2 AMaruthi Phanindra, 3 M Bhanu Venkatesh, 4 M Anil Kumar Dept. of Electronics and Communication Engineering, MLR Institute

More information

Low Power Cache Design. Angel Chen Joe Gambino

Low Power Cache Design. Angel Chen Joe Gambino Low Power Cache Design Angel Chen Joe Gambino Agenda Why is low power important? How does cache contribute to the power consumption of a processor? What are some design challenges for low power caches?

More information

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy

An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy An Advanced and more Efficient Built-in Self-Repair Strategy for Embedded SRAM with Selectable Redundancy A. Sharone Michael.1 #1, K.Sivanna.2 #2 #1. M.tech student Dept of Electronics and Communication,

More information

ECE 152 Introduction to Computer Architecture

ECE 152 Introduction to Computer Architecture Introduction to Computer Architecture Main Memory and Virtual Memory Copyright 2009 Daniel J. Sorin Duke University Slides are derived from work by Amir Roth (Penn) Spring 2009 1 Where We Are in This Course

More information

Memory & Logic Array. Lecture # 23 & 24 By : Ali Mustafa

Memory & Logic Array. Lecture # 23 & 24 By : Ali Mustafa Memory & Logic Array Lecture # 23 & 24 By : Ali Mustafa Memory Memory unit is a device to which a binary information is transferred for storage. From which information is retrieved when needed. Types of

More information

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing

Microcontroller Systems. ELET 3232 Topic 11: General Memory Interfacing Microcontroller Systems ELET 3232 Topic 11: General Memory Interfacing 1 Objectives To become familiar with the concepts of memory expansion and the data and address bus To design embedded systems circuits

More information

A FAULT PRIMITIVE BASED MODEL OF ALL STATIC FOUR- CELL COUPLING FAULTS IN RANDOM-ACCESS MEMORIES

A FAULT PRIMITIVE BASED MODEL OF ALL STATIC FOUR- CELL COUPLING FAULTS IN RANDOM-ACCESS MEMORIES BULETINUL INSTITUTULUI POLITEHNIC DIN IAŞI Publicat de Universitatea Tehnică Gheorghe Asachi din Iaşi Tomul LIV (LVIII), Fasc. 1, 2008 Secţia AUTOMATICĂ şi CALCULATOARE A FAULT PRIMITIVE BASED MODEL OF

More information

RTL Design (2) Memory Components (RAMs & ROMs)

RTL Design (2) Memory Components (RAMs & ROMs) RTL Design (2) Memory Components (RAMs & ROMs) Memory Components All sequential circuit have a form of memory Register, latches, etc However, the term memory is generally reserved for bits that are stored

More information

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic

Unit 6 1.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2.Programmable Logic EE 200: Digital Logic Circuit Design Dr Radwan E Abdel-Aal, COE Unit 6.Random Access Memory (RAM) Chapter 3 Combinational Logic Design 2. Logic Logic and Computer Design Fundamentals Part Implementation

More information

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder

Chapter 6 (Lect 3) Counters Continued. Unused States Ring counter. Implementing with Registers Implementing with Counter and Decoder Chapter 6 (Lect 3) Counters Continued Unused States Ring counter Implementing with Registers Implementing with Counter and Decoder Sequential Logic and Unused States Not all states need to be used Can

More information

Address connections Data connections Selection connections

Address connections Data connections Selection connections Interface (cont..) We have four common types of memory: Read only memory ( ROM ) Flash memory ( EEPROM ) Static Random access memory ( SARAM ) Dynamic Random access memory ( DRAM ). Pin connections common

More information

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics

Logic and Computer Design Fundamentals. Chapter 8 Memory Basics Logic and Computer Design Fundamentals Memory Basics Overview Memory definitions Random Access Memory (RAM) Static RAM (SRAM) integrated circuits Arrays of SRAM integrated circuits Dynamic RAM (DRAM) Read

More information

Transparent Memory BIST*

Transparent Memory BIST* Transparent Memory BIST* M. G. Karpovsky V. N. Yarmolik Dep. Elec., Comp. & Syst. Eng. College of Eng., Boston University Boston, MA 02215, USA Computer Science Dep. Minsk Radio Eng. Inst., Minsk, 220027,

More information

ECE 485/585 Microprocessor System Design

ECE 485/585 Microprocessor System Design Microprocessor System Design Lecture 4: Memory Hierarchy Memory Taxonomy SRAM Basics Memory Organization DRAM Basics Zeshan Chishti Electrical and Computer Engineering Dept Maseeh College of Engineering

More information

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM)

Chapter Two - SRAM 1. Introduction to Memories. Static Random Access Memory (SRAM) 1 3 Introduction to Memories The most basic classification of a memory device is whether it is Volatile or Non-Volatile (NVM s). These terms refer to whether or not a memory device loses its contents when

More information

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani

Pak. J. Biotechnol. Vol. 14 (Special Issue II) Pp (2017) Keerthiga D.S. and S. Bhavani DESIGN AND TESTABILITY OF Z-TERNARY CONTENT ADDRESSABLE MEMORY LOGIC Keerthiga Devi S. 1, Bhavani, S. 2 Department of ECE, FOE-CB, Karpagam Academy of Higher Education (Deemed to be University), Coimbatore,

More information

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair

Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Modeling and Simulation of Multi-Operation Microcode-based Built-in Self Test for Memory Fault Detection and Repair Dr. R.K. Sharma and Aditi Sood Abstract As embedded memory area on-chip is increasing

More information

8051 INTERFACING TO EXTERNAL MEMORY

8051 INTERFACING TO EXTERNAL MEMORY 8051 INTERFACING TO EXTERNAL MEMORY Memory Capacity The number of bits that a semiconductor memory chip can store Called chip capacity It can be in units of Kbits (kilobits), Mbits (megabits), and so on

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 10 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Content Manufacturing Defects Wafer defects Chip defects Board defects system defects

More information

CPE300: Digital System Architecture and Design

CPE300: Digital System Architecture and Design CPE300: Digital System Architecture and Design Fall 2011 MW 17:30-18:45 CBC C316 Cache 11232011 http://www.egr.unlv.edu/~b1morris/cpe300/ 2 Outline Review Memory Components/Boards Two-Level Memory Hierarchy

More information

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER

IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 54, NO. 5, OCTOBER 2005 1721 A Built-In Self-Testing Method for Embedded Multiport Memory Arrays V. Narayanan, Swaroop Ghosh, Wen-Ben Jone, Senior

More information

Summer 2003 Lecture 18 07/09/03

Summer 2003 Lecture 18 07/09/03 Summer 2003 Lecture 18 07/09/03 NEW HOMEWORK Instruction Execution Times: The 8088 CPU is a synchronous machine that operates at a particular clock frequency. In the case of the original IBM PC, that clock

More information

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e.

BIST is the technique of designing additional hardware and software. features into integrated circuits to allow them to perform self testing, i.e. CHAPTER 6 FINITE STATE MACHINE BASED BUILT IN SELF TEST AND DIAGNOSIS 5.1 Introduction BIST is the technique of designing additional hardware and software features into integrated circuits to allow them

More information

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage:

Introduction to Semiconductor Memory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Introduction to Semiconductor Memory Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035

More information

Applying Memory Test to Embedded Systems

Applying Memory Test to Embedded Systems Applying Memory Test to Embedded Systems César Augusto M. Marcon 1, Alexandre de Moraes Amory 1, Marcelo Lubaszewski 1, Altamiro Amadeu Susin 1, Ney Laert V. Calazans 2, Fernando Gehm Moraes 2, Fabiano

More information

Column decoder using PTL for memory

Column decoder using PTL for memory IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 5, Issue 4 (Mar. - Apr. 2013), PP 07-14 Column decoder using PTL for memory M.Manimaraboopathy

More information

CMOS Logic Circuit Design Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計

CMOS Logic Circuit Design   Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 CMOS Logic Circuit Design http://www.rcns.hiroshima-u.ac.jp Link( リンク ): センター教官講義ノートの下 CMOS 論理回路設計 Memory Circuits (Part 1) Overview of Memory Types Memory with Address-Based Access Principle of Data Access

More information

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory The basic element of a semiconductor memory is the memory cell. Although a variety of

More information

ENGIN 112 Intro to Electrical and Computer Engineering

ENGIN 112 Intro to Electrical and Computer Engineering ENGIN 112 Intro to Electrical and Computer Engineering Lecture 30 Random Access Memory (RAM) Overview Memory is a collection of storage cells with associated input and output circuitry Possible to read

More information

ECE 1767 University of Toronto

ECE 1767 University of Toronto Memories today Fault Model MARCH algorithms Memory is the most dense physical structure - Embedded memories begin to dominate physical die area vs. logic - Memory arrays can be doubly embedded (ex: microprocessor

More information

Chapter 8 Memory Basics

Chapter 8 Memory Basics Logic and Computer Design Fundamentals Chapter 8 Memory Basics Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active in View Show mode) Overview Memory definitions Random Access

More information

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes

Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes Efficient Majority Logic Fault Detector/Corrector Using Euclidean Geometry Low Density Parity Check (EG-LDPC) Codes 1 U.Rahila Begum, 2 V. Padmajothi 1 PG Student, 2 Assistant Professor 1 Department Of

More information

Chapter 5. Internal Memory. Yonsei University

Chapter 5. Internal Memory. Yonsei University Chapter 5 Internal Memory Contents Main Memory Error Correction Advanced DRAM Organization 5-2 Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory(ram) Read-write

More information

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals

Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Module 6 : Semiconductor Memories Lecture 30 : SRAM and DRAM Peripherals Objectives In this lecture you will learn the following Introduction SRAM and its Peripherals DRAM and its Peripherals 30.1 Introduction

More information

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS

TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS TESTING OF FAULTS IN VLSI CIRCUITS USING ONLINE BIST TECHNIQUE BASED ON WINDOW OF VECTORS Navaneetha Velammal M. 1, Nirmal Kumar P. 2 and Getzie Prija A. 1 1 Department of Electronics and Communications

More information

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool

Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Performance Analysis and Designing 16 Bit Sram Memory Chip Using XILINX Tool Monika Solanki* Department of Electronics & Communication Engineering, MBM Engineering College, Jodhpur, Rajasthan Review Article

More information

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic

Built-in Self-repair Mechanism for Embedded Memories using Totally Self-checking Logic International Journal of Information and Computation Technology. ISSN 0974-2239 Volume 3, Number 5 (2013), pp. 361-370 International Research Publications House http://www. irphouse.com /ijict.htm Built-in

More information

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms

Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Embedded Static RAM Redundancy Approach using Memory Built-In-Self-Repair by MBIST Algorithms Mr. Rakesh Manukonda M.Tech. in VLSI &ES, MLEC, Singarayakonda, Mr. Suresh Nakkala Asst. Prof. in E.C.E MLEC,

More information

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall

Topics. ! PLAs.! Memories: ! Datapaths.! Floor Planning ! ROM;! SRAM;! DRAM. Modern VLSI Design 2e: Chapter 6. Copyright 1994, 1998 Prentice Hall Topics! PLAs.! Memories:! ROM;! SRAM;! DRAM.! Datapaths.! Floor Planning Programmable logic array (PLA)! Used to implement specialized logic functions.! A PLA decodes only some addresses (input values);

More information

Sram Cell Static Faults Detection and Repair Using Memory Bist

Sram Cell Static Faults Detection and Repair Using Memory Bist Sram Cell Static Faults Detection and Repair Using Memory Bist Shaik Moulali *, Dr. Fazal Noor Bhasha, B.Srinivas, S.Dayasagar chowdary, P.Srinivas, K. Hari Kishore Abstract Memories are one of the most

More information

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN

International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February ISSN International Journal of Scientific & Engineering Research, Volume 5, Issue 2, February-2014 938 LOW POWER SRAM ARCHITECTURE AT DEEP SUBMICRON CMOS TECHNOLOGY T.SANKARARAO STUDENT OF GITAS, S.SEKHAR DILEEP

More information

LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability. Subhasis Bhattacharjee and Dhiraj K. Pradhan, Fellow, IEEE

LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability. Subhasis Bhattacharjee and Dhiraj K. Pradhan, Fellow, IEEE IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 23, NO. 5, MAY 2004 637 LPRAM: A Novel Low-Power High-Performance RAM Design With Testability and Scalability Subhasis

More information

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory

William Stallings Computer Organization and Architecture 6th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 6th Edition Chapter 5 Internal Memory Semiconductor Memory Types Semiconductor Memory RAM Misnamed as all semiconductor memory is random access

More information

! Memory Overview. ! ROM Memories. ! RAM Memory " SRAM " DRAM. ! This is done because we can build. " large, slow memories OR

! Memory Overview. ! ROM Memories. ! RAM Memory  SRAM  DRAM. ! This is done because we can build.  large, slow memories OR ESE 57: Digital Integrated Circuits and VLSI Fundamentals Lec 2: April 5, 26 Memory Overview, Memory Core Cells Lecture Outline! Memory Overview! ROM Memories! RAM Memory " SRAM " DRAM 2 Memory Overview

More information

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?!

10/24/2016. Let s Name Some Groups of Bits. ECE 120: Introduction to Computing. We Just Need a Few More. You Want to Use What as Names?! University of Illinois at Urbana-Champaign Dept. of Electrical and Computer Engineering ECE 120: Introduction to Computing Memory Let s Name Some Groups of Bits I need your help. The computer we re going

More information

US A United States Patent (19) 11 Patent Number: 6,058,048 KWOn (45) Date of Patent: May 2, 2000

US A United States Patent (19) 11 Patent Number: 6,058,048 KWOn (45) Date of Patent: May 2, 2000 US006058048A United States Patent (19) 11 Patent Number: 6,058,048 KWOn (45) Date of Patent: May 2, 2000 54) FLASH MEMORY DEVICE USED ASA 56) References Cited BOOT-UP MEMORY IN A COMPUTER SYSTEM U.S. PATENT

More information

Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution

Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution Luigi Dilillo, Patrick Girard, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Hage-Hassan To cite this

More information

ECE 2300 Digital Logic & Computer Organization

ECE 2300 Digital Logic & Computer Organization ECE 2300 Digital Logic & Computer Organization Spring 201 Memories Lecture 14: 1 Announcements HW6 will be posted tonight Lab 4b next week: Debug your design before the in-lab exercise Lecture 14: 2 Review:

More information

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems

8Kb Logic Compatible DRAM based Memory Design for Low Power Systems 8Kb Logic Compatible DRAM based Memory Design for Low Power Systems Harshita Shrivastava 1, Rajesh Khatri 2 1,2 Department of Electronics & Instrumentation Engineering, Shree Govindram Seksaria Institute

More information

Computer Organization. 8th Edition. Chapter 5 Internal Memory

Computer Organization. 8th Edition. Chapter 5 Internal Memory William Stallings Computer Organization and Architecture 8th Edition Chapter 5 Internal Memory Semiconductor Memory Types Memory Type Category Erasure Write Mechanism Volatility Random-access memory (RAM)

More information

chapter 8 The Memory System Chapter Objectives

chapter 8 The Memory System Chapter Objectives chapter 8 The Memory System Chapter Objectives In this chapter you will learn about: Basic memory circuits Organization of the main memory Memory technology Direct memory access as an I/O mechanism Cache

More information

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE.

Memory Design I. Array-Structured Memory Architecture. Professor Chris H. Kim. Dept. of ECE. Memory Design I Professor Chris H. Kim University of Minnesota Dept. of ECE chriskim@ece.umn.edu Array-Structured Memory Architecture 2 1 Semiconductor Memory Classification Read-Write Wi Memory Non-Volatile

More information

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1

6T- SRAM for Low Power Consumption. Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 6T- SRAM for Low Power Consumption Mrs. J.N.Ingole 1, Ms.P.A.Mirge 2 Professor, Dept. of ExTC, PRMIT &R, Badnera, Amravati, Maharashtra, India 1 PG Student [Digital Electronics], Dept. of ExTC, PRMIT&R,

More information

CS 320 February 2, 2018 Ch 5 Memory

CS 320 February 2, 2018 Ch 5 Memory CS 320 February 2, 2018 Ch 5 Memory Main memory often referred to as core by the older generation because core memory was a mainstay of computers until the advent of cheap semi-conductor memory in the

More information

UNIT V (PROGRAMMABLE LOGIC DEVICES)

UNIT V (PROGRAMMABLE LOGIC DEVICES) UNIT V (PROGRAMMABLE LOGIC DEVICES) Introduction There are two types of memories that are used in digital systems: Random-access memory(ram): perform both the write and read operations. Read-only memory(rom):

More information

Digital VLSI Testing. Week 1 Assignment Solution

Digital VLSI Testing. Week 1 Assignment Solution Digital VLSI Testing Week 1 Assignment Solution Q1. Primary objective of testing is to guarantee (A) Fault-free products (B) Detection of design error (C) Reduction of product cost (D) All of these Ans:

More information

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS

AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS International Journal of Engineering Inventions ISSN: 2278-7461, www.ijeijournal.com Volume 1, Issue 8 (October2012) PP: 76-80 AN OPTIMAL APPROACH FOR TESTING EMBEDDED MEMORIES IN SOCS B.Prathap Reddy

More information

EE414 Embedded Systems Ch 5. Memory Part 2/2

EE414 Embedded Systems Ch 5. Memory Part 2/2 EE414 Embedded Systems Ch 5. Memory Part 2/2 Byung Kook Kim School of Electrical Engineering Korea Advanced Institute of Science and Technology Overview 6.1 introduction 6.2 Memory Write Ability and Storage

More information

Applying March Tests to K-Way Set-Associative Cache Memories

Applying March Tests to K-Way Set-Associative Cache Memories 13th European Test Symposium Applying March Tests to K-Way Set-Associative Cache Memories Simone Alpe, Stefano Di Carlo, Paolo Prinetto, Alessandro Savino Politecnico di Torino, Dep. of Control and Computer

More information

MSc THESIS. Experimental Analysis Of Design-For-Testability Techniques In SRAMs

MSc THESIS. Experimental Analysis Of Design-For-Testability Techniques In SRAMs Computer Engineering Mekelweg 4, 2628 CD Delft The Netherlands http://ce.et.tudelft.nl/ red2009 MSc THESIS Experimental Analysis Of Design-For-Testability Techniques In SRAMs ANNE NKENGAFEH FOMIN Abstract

More information

EECS 3201: Digital Logic Design Lecture 7. Ihab Amer, PhD, SMIEEE, P.Eng.

EECS 3201: Digital Logic Design Lecture 7. Ihab Amer, PhD, SMIEEE, P.Eng. EECS 3201: Digital Logic Design Lecture 7 Ihab Amer, PhD, SMIEEE, P.Eng. 2x2 binary multiplier 2 4x4 Array Multiplier 3 Multiplexer (MUX) 4 MUX Implementations 5 Wider MUXes 6 Logic with MUXes 7 Reducing

More information

Allmost all systems contain two main types of memory :

Allmost all systems contain two main types of memory : Memory Interface Allmost all systems contain two main types of memory : read-only memory (ROM) system software and permanent system data random access memory (RAM) or read/write memory application software

More information