Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Size: px
Start display at page:

Download "Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics"

Transcription

1 Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics

2 BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability is no longer an optional product feature, but a necessary and integral part of a product s specifications. Power challenges in today's integrated circuit (IC) designs create a significant increase in verification complexity. Critical design rule checking (DRC) of variable spacing rules for densely packed multi-voltage nets is often verified with the traditional use of marker layers, a tedious, time-consuming, and error-prone technique. Without an efficient means of verifying variable spacing within nets, designers often play it safe and simply apply maximum spacing throughout specific areas of a design, wasting valuable design area. Or worse, by the inadvertent omission of these marker layers, they use process manufacturing rules that place these nets too close together for the design requirements. Designers need verification tools and techniques that go beyond the traditional triumvirate of DRC, LVS, and ERC to provide robust reliability verification throughout the design flow and ensure maximum design efficiency. With mixed signal, memory, and logic elements sharing the same die, it is common to have multiple voltages, with the voltage for a specific region selected for the best functional operation. In addition, each voltage may be associated with multiple power domains discrete portions of the design that can be turned on and off. Depending on how nets traverse within a design, signals of different voltages may be near each other. This difference in voltage values can create electrical fields that can influence sensitive areas on the chip and lead to reliability issues, particularly for automotive and other high power applications [1]. To protect these nets from time-dependent dielectric breakdown (TDDB), which can be caused by having nets too close to each other for their respective voltages, additional spacing rules are developed that specify power domain spacing based on the voltage delta. In general, as the change in voltage increases, so does the spacing that is required between the two domains. With designs today often containing tens, or even hundreds, of power domains, these spacing rules can explode in intricacy (Figure 1). Figure 1: In a multiple-voltage design, different spacing checks are required, based on the voltage change between the two areas being analyzed. The spacing between each block combination depends on the difference in voltage between the two blocks. (Image source: bwrc.eecs.berkeley.edu/cic/die_ photos/pentium.gif) Traditional DRC spacing rules consider only the physical manufacturing aspects of an integrated circuit (IC) design. Traditional electrical checks use a single device/pin-to-net relation. In contrast, reliability requirements can often only be described by a topological view that combines both circuit description and physical devices [2]. The rules defining the spacing checks that must be performed when a high-voltage net is routed close to a lowvoltage net are often quite complex, and require analysis of both the physical layout and the circuitry. In older design flows, designers managed these types of checks by manually adding marker (CAD) layers to trigger additional DRC checks in these areas, or to identify these portions of the design for visual inspection. Marker layers require a designer to manually determine how voltages propagate throughout a design, then manually mark the 2 [5]

3 correct regions where variant spacing is required. Not only are marker layers time-consuming to create, but it is often exceedingly difficult to maintain them with any accuracy as design changes occur [3]. Alternatively, without a simple and efficient way to analyze voltage changes in relation to physical spacing, designers simply applied maximum spacing rules throughout that portion of the design, creating larger designs than necessary for the sake of meeting tapeout schedules. Both of these techniques were a best effort, error-prone solution for established process nodes, but as we move toward more complex multiple-voltage designs, while simultaneously looking for more design real estate, designers need to be able to identify these voltage changes quickly and easily, accurately apply the appropriate spacing rule to each occurrence. Another critical concern is the interactions of the different power states at the transistor level, where verifying bulk connections, floating wells, and other physical implementation details is critical to avoiding latch-up conditions and ensuring high reliability. Until now, SPICE simulations have been used in an attempt to cover all the possible scenarios. Unfortunately, these simulations require exhaustive test vectors to exercise all combinations. This requires detailed waveform analysis to decipher design errors, some of which contain subtle changes that may be easily overlooked. Finding these subtle design errors using traditional SPICE methods is often tedious and error-prone, and almost always time-consuming. In addition, mastering the use of these tools and the interpretation of their results requires significant experience in the application space and detailed familiarity with the design being evaluated. AN EFFICIENT AND EFFECTIVE SOLUTION WITH CALIBRE PERC Comprehensive and practical reliability verification coverage requires an approach that does not rely on manual marker layers, visual inspection of layouts, or time-consuming simulations. Calibre PERC is a powerful reliability verification platform that provides designers with a diverse range of advanced verification capabilities. Not just another point tool, Calibre PERC provides a full-featured reliability verification solution that supports designers throughout the design and sign-off process with a variety of capabilities and techniques. Calibre PERC s ability to use both netlist and layout (GDS) information simultaneously to perform rule checks that incorporate both layout-related parameters and circuit-dependent values enables designers to address the complex spacing verification requirements both quickly and accurately. Device and nets connectivity is established for the design. Typically this netlist is extracted from the layout. Using its built-in voltage propagation capability, Calibre PERC traces voltages throughout a design, without the use of SPICE simulations or manual markers, then identifies nets and devices subject to voltage-aware DRC constraints. Once the voltages are known, designers use these values to run DRC net spacing checks that are dependent on the voltage difference between different nets [4] [5]. If desired, the entire flow can be run from a single Calibre PERC invocation. These checks not only enable robust protection against TDDB, but also enable design teams to save significant design space by applying only the spacing required for each voltage combination. By using its voltage propagation capability in conjunction with its knowledge of the nets, devices, and the location of each of these in the design, Calibre PERC helps designers ensure the right DRC checks are run for all of the voltages in the design, and that design area is conserved through the use of minimum spacing. Figure 2 illustrates a high-level view of the voltage-aware DRC flow [5]. 3 [5]

4 Calibre PERC rule decks may also be easily augmented to include custom verification requirements that extend beyond or expand upon standard foundry rule decks. Users can insert custom reliability verification into their existing design flows as part of an integrated Calibre platform for cell, block, and full-chip verification. Combining rules expressed in the proprietary SVRF and the Tcl-based TVF language across all applications provides users with flexibility to meet the specific and evolving needs of their design teams, while ensuring compatibility with all foundries. As always, debugging is a key component of any verification flow. Calibre PERC provides an integrated debugging solution that provides extensive coverage and is easy to use. Deterministic checking helps eliminate even the most subtle reliability errors quickly and efficiently, compared to the use of manual marker layers and visual inspection (Table 1). Figure 2: Voltage-aware DRC layout checking flow with Calibre PERC [5]. TABLE 1. Comparison of Calibre PERC to Marker Layers and Visual Inspection Items Calibre PERC Marker Layers Visual Inspection Rules Coverage over 90% under 30% under 10% False Error 0 to very low, waiver many, waiver always Tool Integration Topology, LVS, DRC, P2P, CD DRC+manual marker manual examination Tool Quality sign-off level dependence no quality Programmable fully partial never Run Time minutes~hours minutes~hours hours~days Human Error never sometimes always User Usage automated semi-automated manual Calibre PERC readily integrates into your design flow, while Calibre RVE provides a results viewing and debugging environment that highlights results and geometries, and accesses connectivity information, to make debugging reliability checks easy, quick, and thorough (Figure 3). 4 [5]

5 Figure 3: Calibre PERC results can be easily and efficiently reviewed and debugged in Calibre RVE. Accurate and repeatable reliability verification is now a critical capability, both for advanced node designs and the increasingly complex products being produced at established nodes. Voltage-aware DRC is an essential component in the reliability assessment of a design. Calibre PERC is the only comprehensive solution capable of verifying both the geometrical and electrical constraints in a single platform that is needed to ensure that nets are protected and that design spacing is maximally utilized. As part of the Calibre platform, it integrates easily into existing signoff flows, with comprehensive debugging provided by Calibre RVE. Calibre PERC provides an easy-to-use, automated, and customizable verification solution that can ultimately reduce both cost and time to market, while providing the diagnostic insights today s designers need to improve yield and device reliability. To learn more about Calibre PERC s full range of capabilities, visit our website at: 5 [5]

6 REFERENCES [1] Medhat, D. Circuit reliability challenges for the automotive industry, EE Times EDA Design Line (Jan 2013). URL: [2] Kollu, K., Jackson, T., Kharas, F., Adke, A., Unifying Design Data During Verification: Implementing Logic-Driven Layout Analysis and Debug, IC Design & Technology (ICICDT), 2012 IEEE International Conference on, pp.1,5, May June doi: /ICICDT URL: [3] Robertson, C., Circuit Reliability: Old Problem? New Problem? Or Both?, EE Times EDA DesignLine (June 2013). URL: [4] Lescot, J., Bligny, V., Medhat, D., Static Low Power Verification at Transistor Level for SoC Design, Proc. ACM/ IEEE International Symposium on Low Power Electronics and Design (2012), Doi: / [5] Hogan, M., Srinivasan, S., Medhat, D., Lu, Z., Hofmann, M., Using Static Voltage Analysis and Voltage-Aware DRC to Identify EOS and Oxide Breakdown Reliability Issues, IEEE Electrical Overstress/Electrostatic Discharge Symposium (2013) For the latest product information, call us or visit: w w w. m e n t o r. c o m 2013 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies. In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks mentioned in this document are the trademarks of their respective owners. MGC TECH11360

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation

Improved Circuit Reliability/Robustness. Carey Robertson Product Marketing Director Mentor Graphics Corporation Improved Circuit Reliability/Robustness Carey Robertson Product Marketing Director Mentor Graphics Corporation Reliability Requirements are Growing in all Market Segments Transportation Mobile / Wireless

More information

Programmable Electrical Rule Checking (PERC)

Programmable Electrical Rule Checking (PERC) AppNote 10655 Programmable Electrical Rule Checking (PERC) By: Dina Medhat Last Modified: 28-Oct-2008 Copyright Mentor Graphics Corporation 1995-2008. All rights reserved. This document contains information

More information

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS

PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS PACKAGE DESIGNERS NEED ASSEMBLY-LEVEL LVS FOR HDAP VERIFICATION TAREK RAMADAN MENTOR, A SIEMENS BUSINESS D E S I G N T O S I L I C O N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Contrary

More information

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology

VCS AMS. Mixed-Signal Verification Solution. Overview. testing with transistor-level accuracy. Introduction. Performance. Multicore Technology DATASHEET VCS AMS Mixed-Signal Verification Solution Scalable mixedsignal regression testing with transistor-level accuracy Overview The complexity of mixed-signal system-on-chip (SoC) designs is rapidly

More information

Latch-up Verification / Rule Checking Throughout Circuit Design Flow

Latch-up Verification / Rule Checking Throughout Circuit Design Flow Latch-up Verification / Rule Checking Throughout Circuit Design Flow Michael Khazhinsky ESD and Latch-up Design Silicon Labs April 2016 Motivation The verification of latch-up protection networks in modern

More information

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS

THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS THE BENEFITS OF MODEL-BASED ENGINEERING IN PRODUCT DEVELOPMENT FROM PCB TO SYSTEMS MENTOR GRAPHICS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m Simulation models are often used to help

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY

AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY AN INTRODUCTION TO HYPERLYNX SI/PI TECHNOLOGY BY STEVE KAUFER, MENTOR H I G H S P E E D D E S I G N W H I T E P A P E R OVERVIEW Digital designers are now required to make the leap from time domain to

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Virtuoso Layout Suite XL

Virtuoso Layout Suite XL Accelerated full custom IC layout Part of the Cadence Virtuoso Layout Suite family of products, is a connectivity- and constraint-driven layout environment built on common design intent. It supports custom

More information

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2

TABLE OF CONTENTS 1.0 PURPOSE INTRODUCTION ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 TABLE OF CONTENTS 1.0 PURPOSE... 1 2.0 INTRODUCTION... 1 3.0 ESD CHECKS THROUGHOUT IC DESIGN FLOW... 2 3.1 PRODUCT DEFINITION PHASE... 3 3.2 CHIP ARCHITECTURE PHASE... 4 3.3 MODULE AND FULL IC DESIGN PHASE...

More information

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

Harmony-AMS Analog/Mixed-Signal Simulator

Harmony-AMS Analog/Mixed-Signal Simulator Harmony-AMS Analog/Mixed-Signal Simulator Yokohama, June 2004 Workshop 7/15/04 Challenges for a True Single-Kernel A/MS Simulator Accurate partition of analog and digital circuit blocks Simple communication

More information

Virtuoso System Design Platform Unified system-aware platform for IC and package design

Virtuoso System Design Platform Unified system-aware platform for IC and package design Unified system-aware platform for IC and package design The Cadence Virtuoso System Design Platform is a holistic, system-based solution that provides the functionality to drive simulation and LVS-clean

More information

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment

Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Datasheet Galaxy Custom Designer SE The New Choice in Custom Schematic Editing and Simulation Environment Overview Galaxy Custom Designer SE is the next-generation choice for schematic entry, enabling

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Focused Ion Beam (FIB) Circuit Edit

Focused Ion Beam (FIB) Circuit Edit EDFAAO (2014) 3:20-23 1537-0755/$19.00 ASM International FIB Circuit Edit Focused Ion Beam (FIB) Circuit Edit Taqi Mohiuddin, Evans Analytical Group taqi@eag.com Introduction While focused ion beam (FIB)

More information

Synopsys Design Platform

Synopsys Design Platform Synopsys Design Platform Silicon Proven for FDSOI Swami Venkat, Senior Director, Marketing, Design Group September 26, 2017 2017 Synopsys, Inc. 1 Synopsys: Silicon to Software Software Application security

More information

DRVerify: The Verification of Physical Verification

DRVerify: The Verification of Physical Verification DRVerify: The Verification of Physical Verification Sage Design Automation, Inc. Santa Clara, California, USA Who checks the checker? DRC (design rule check) is the most fundamental physical verification

More information

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP.

THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. THREE THINGS TO CONSIDER WHEN DESIGNING ELECTRONIC PRODUCTS WITH HIGH-SPEED CONSTRAINTS BY: PATRICK CARRIER, MENTOR GRAPHICS CORP. P A D S W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Designing

More information

Cluster-based approach eases clock tree synthesis

Cluster-based approach eases clock tree synthesis Page 1 of 5 EE Times: Design News Cluster-based approach eases clock tree synthesis Udhaya Kumar (11/14/2005 9:00 AM EST) URL: http://www.eetimes.com/showarticle.jhtml?articleid=173601961 Clock network

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

RMAP software for resistance verification of power nets and ESD protection structures

RMAP software for resistance verification of power nets and ESD protection structures RMAP software for resistance verification of power nets and ESD protection structures Maxim Ershov*, Meruzhan Cadjan*, Yuri Feinberg*, and Thomas Jochum** (*) Silicon Frontline Technology, (**) Intersil

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

DATASHEET VIRTUOSO LAYOUT SUITE GXL

DATASHEET VIRTUOSO LAYOUT SUITE GXL DATASHEET Part of the Cadence Virtuoso Layout Suite family of products, is a collection of fully automated layout capabilities such as custom placement and routing, layout optimization, module generation,

More information

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A

BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A BOOST YOUR DESIGNS TO A NEW LEVEL OF ACCURACY AND CONFIDENCE WITH VERILOG-A NICOLAS WILLIAMS, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS JEFF MILLER, PRODUCT MARKETING MANAGER, MENTOR GRAPHICS A M S D

More information

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP

Virtuoso Custom Design Platform GXL. Open Database. PDKs. Constraint Management. Customer IP Virtuoso Custom Design Platform GL The Cadence Virtuoso custom design platform is the industry s leading design system for complete front-to-back analog, RF, mixed-signal, and custom digital design. The

More information

Nanometer technologies enable higher-frequency designs

Nanometer technologies enable higher-frequency designs By Ron Press & Jeff Boyer Easily Implement PLL Clock Switching for At-Speed Test By taking advantage of pattern-generation features, a simple logic design can utilize phase-locked-loop clocks for accurate

More information

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy

Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Early Design Review of Boundary Scan in Enhancing Testability and Optimization of Test Strategy Sivakumar Vijayakumar Keysight Technologies Singapore Abstract With complexities of PCB design scaling and

More information

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog

Custom Design Formal Equivalence Checking Based on Symbolic Simulation. Overview. Verification Scope. Create Verilog model. Behavioral Verilog DATASHEET Custom Design Formal Equivalence Checking Based on Symbolic Simulation High-quality equivalence checking for full-custom designs Overview is an equivalence checker for full custom designs. It

More information

Multi-Board Systems Design

Multi-Board Systems Design Multi-Board Systems Design D A T A S H E E T MAJOR BENEFITS: Xpedition optimizes multi-board system design from logical system definition through manufacturing. Overview Electronic multi-board systems

More information

Choosing an Intellectual Property Core

Choosing an Intellectual Property Core Choosing an Intellectual Property Core MIPS Technologies, Inc. June 2002 One of the most important product development decisions facing SOC designers today is choosing an intellectual property (IP) core.

More information

Cypress Adopts Questa Formal Apps to Create Pristine IP

Cypress Adopts Questa Formal Apps to Create Pristine IP Cypress Adopts Questa Formal Apps to Create Pristine IP DAVID CRUTCHFIELD, SENIOR PRINCIPLE CAD ENGINEER, CYPRESS SEMICONDUCTOR Because it is time consuming and difficult to exhaustively verify our IP

More information

idrm: Fixing the broken interface between design and manufacturing

idrm: Fixing the broken interface between design and manufacturing idrm: Fixing the broken interface between design and manufacturing Abstract Sage Design Automation, Inc. Santa Clara, California, USA This paper reviews the industry practice of using the design rule manual

More information

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014

Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 White Paper Extending Digital Verification Techniques for Mixed-Signal SoCs with VCS AMS September 2014 Author Helene Thibieroz Sr Staff Marketing Manager, Adiel Khan Sr Staff Engineer, Verification Group;

More information

CMP Model Application in RC and Timing Extraction Flow

CMP Model Application in RC and Timing Extraction Flow INVENTIVE CMP Model Application in RC and Timing Extraction Flow Hongmei Liao*, Li Song +, Nickhil Jakadtar +, Taber Smith + * Qualcomm Inc. San Diego, CA 92121 + Cadence Design Systems, Inc. San Jose,

More information

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design

PVS Interactive Short Locator: Establishing Efficiency and Predictability in the LVS Short Debug Process for Advanced SoC Design : Establishing Efficiency and Predictability in the LVS Short Process for Advanced SoC Design ging SoC designs grows more challenging as process technologies shrink. The time required to run multiple iterations

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY

DATASHEET VIRTUOSO LAYOUT SUITE FAMILY DATASHEET The Cadence Virtuoso Layout Suite family of products delivers a complete solution for front-to-back custom analog, digital, RF, and mixed-signal design. It preserves design intent throughout

More information

CHAPTER 1 INTRODUCTION

CHAPTER 1 INTRODUCTION CHAPTER 1 INTRODUCTION Rapid advances in integrated circuit technology have made it possible to fabricate digital circuits with large number of devices on a single chip. The advantages of integrated circuits

More information

Allegro Design Authoring

Allegro Design Authoring Create design intent with ease for simple to complex designs Systems companies looking to create new products at the lowest possible cost need a way to author their designs with ease in a shorter, more

More information

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER

DATASHEET ENCOUNTER LIBRARY CHARACTERIZER ENCOUNTER LIBRARY CHARACTERIZER DATASHEET ENCOUNTER LIBRARY CHARACTERIZER Power and process variation concerns are growing for digital IC designers, who need advanced modeling formats to support their cutting-edge low-power digital design

More information

Laker and Calibre RealTime, an OA Integration Success Story

Laker and Calibre RealTime, an OA Integration Success Story Silicon Integration Initiative Laker and Calibre RealTime, an OA Integration Success Story Rich Morse, Marketing & EDA Alliances Manager, SpringSoft Anant Adke, Director of Engineering, Design to Silicon

More information

Eliminating Routing Congestion Issues with Logic Synthesis

Eliminating Routing Congestion Issues with Logic Synthesis Eliminating Routing Congestion Issues with Logic Synthesis By Mike Clarke, Diego Hammerschlag, Matt Rardon, and Ankush Sood Routing congestion, which results when too many routes need to go through an

More information

Electrical optimization and simulation of your PCB design

Electrical optimization and simulation of your PCB design Electrical optimization and simulation of your PCB design Steve Gascoigne Senior Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 The Challenge of Validating a Design..

More information

Galaxy Custom Designer LE Custom Layout Editing

Galaxy Custom Designer LE Custom Layout Editing Datasheet Galaxy Custom Designer LE Custom Layout Editing Overview Galaxy Custom Designer LE is the modern-era choice for layout entry and editing, enabling users to meet the challenges of today s fast-moving

More information

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering.

electronic lab 11 Fedora Electronic Lab empowers hardware engineers and universities with opensource solutions for micro nano electronics engineering. The Fedora Project is out front for you, leading the advancement of free, open software and content. electronic lab 11 Community Leader in opensource EDA deployment Fedora Electronic Lab empowers hardware

More information

Computer-Based Project on VLSI Design Co 3/7

Computer-Based Project on VLSI Design Co 3/7 Computer-Based Project on VLSI Design Co 3/7 IC Layout and Symbolic Representation This pamphlet introduces the topic of IC layout in integrated circuit design and discusses the role of Design Rules and

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications

Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Characterization and Reliability of custom digital ASIC designs using a 0.8µm bulk CMOS process for high temperature applications Mark Watts, Shane Rose Quartzdyne, Inc. 4334 W Links Drive Salt Lake City,

More information

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment

Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Datasheet Custom WaveView ADV Complete Transistor-Level Analysis and Debugging Environment Overview Custom WaveView ADV provides a complete transistorlevel analysis and debugging environment for pre-processing

More information

High Quality, Low Cost Test

High Quality, Low Cost Test Datasheet High Quality, Low Cost Test Overview is a comprehensive synthesis-based test solution for compression and advanced design-for-test that addresses the cost challenges of testing complex designs.

More information

Evolution of UPF: Getting Better All the Time

Evolution of UPF: Getting Better All the Time Evolution of UPF: Getting Better All the Time by Erich Marschner, Product Manager, Questa Power Aware Simulation, Mentor Graphics Power management is a critical aspect of chip design today. This is especially

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS

THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS THE SIX THINGS YOU WANT TO HAVE IN YOUR DESKTOP PCB DESIGN LIBRARY DANIT ATAR, MENTOR GRAPHICS L I B R A R Y M A N A G E M E N T W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Accurate and

More information

SEVEN HABITS OF HIGHLY EFFICIENT PCB DESIGNERS JOHN MCMILLAN, MENTOR A SIEMENS BUSINESS

SEVEN HABITS OF HIGHLY EFFICIENT PCB DESIGNERS JOHN MCMILLAN, MENTOR A SIEMENS BUSINESS SEVEN HABITS OF HIGHLY EFFICIENT PCB DESIGNERS JOHN MCMILLAN, MENTOR A SIEMENS BUSINESS P C B D E S I G N W H I T E P A P E R w w w. m e n t o r. c o m INTRODUCTION Every step taken during the printed

More information

ECE260B CSE241A Winter Tapeout. Website:

ECE260B CSE241A Winter Tapeout. Website: ECE260B CSE241A Winter 2007 Tapeout Website: http://vlsicad.ucsd.edu/courses/ece260b-w07 ECE 260B CSE 241A Tapeout 1 Tapeout definition What is the definition of the tapeout? There is no standard definition

More information

Will Silicon Proof Stay the Only Way to Verify Analog Circuits?

Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Will Silicon Proof Stay the Only Way to Verify Analog Circuits? Pierre Dautriche Jean-Paul Morin Advanced CMOS and analog. Embedded analog Embedded RF 0.5 um 0.18um 65nm 28nm FDSOI 0.25um 0.13um 45nm 1997

More information

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair

OpenDFM Targeting Functions. Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair OpenDFM Targeting Functions Bob Sayah June 6, 2011 IBM Corporation Si2 Targeting Working Group Chair Targeting Design Drawn Shapes Mfg. Targeting Targeting takes the Drawn Shapes provided by the layout

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

Five Frequently Asked Questions about System Level Transient Voltage Protection

Five Frequently Asked Questions about System Level Transient Voltage Protection Five Frequently Asked Questions about System Level Transient Voltage Protection By Timothy Puls, Semtech Corporation 1. Do I really need a circuit protection device to protect my system from ESD? Years

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

RC Extraction. of an Inverter Circuit

RC Extraction. of an Inverter Circuit RC Extraction of an Inverter Circuit Santa Clara University Department of Electrical Engineering Under Guidance of Dr Samiha Mourad & Dr Shoba Krishnan Date of Last Revision: February 1, 2010 Copyright

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

Integrity 10. Curriculum Guide

Integrity 10. Curriculum Guide Integrity 10 Curriculum Guide Live Classroom Curriculum Guide Integrity 10 Workflows and Documents Administration Training Integrity 10 SCM Administration Training Integrity 10 SCM Basic User Training

More information

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation

Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Optimum Placement of Decoupling Capacitors on Packages and Printed Circuit Boards Under the Guidance of Electromagnetic Field Simulation Yuzhe Chen, Zhaoqing Chen and Jiayuan Fang Department of Electrical

More information

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016

take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 take control of your photonics design flow Photonic-Electronic IC design and implementation Pieter Dumon 27/09/2016 Giving photonic IC designers the same power as electronic IC designers. Make it possible

More information

Accelerating 20nm Double Patterning Verification with IC Validator

Accelerating 20nm Double Patterning Verification with IC Validator White Paper Accelerating 20nm Double Patterning Verification with IC Validator Author Paul Friedberg Corporate Applications Engineering Stelios Diamantidis Product Marketing Abstract The emergence of Double

More information

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi

Digital System Design Lecture 2: Design. Amir Masoud Gharehbaghi Digital System Design Lecture 2: Design Amir Masoud Gharehbaghi amgh@mehr.sharif.edu Table of Contents Design Methodologies Overview of IC Design Flow Hardware Description Languages Brief History of HDLs

More information

Chapter 5: ASICs Vs. PLDs

Chapter 5: ASICs Vs. PLDs Chapter 5: ASICs Vs. PLDs 5.1 Introduction A general definition of the term Application Specific Integrated Circuit (ASIC) is virtually every type of chip that is designed to perform a dedicated task.

More information

PCB Design Tools User Guide

PCB Design Tools User Guide PCB Design Tools User Guide Intel Quartus Prime Pro Edition Updated for Intel Quartus Prime Design Suite: 18.0 Subscribe Send Feedback Latest document on the web: PDF HTML Contents Contents 1. Signal Integrity

More information

Design Methodologies and Tools. Full-Custom Design

Design Methodologies and Tools. Full-Custom Design Design Methodologies and Tools Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores)

More information

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages

Allegro Sigrity SI Streamlining the creation of high-speed interconnect on digital PCBs and IC packages Streamlining the creation of high-speed interconnect on digital PCBs and IC packages The Cadence Allegro Sigrity signal integrity (SI) integrated high-speed design and analysis environment streamlines

More information

A comprehensive workflow and methodology for parasitic extraction

A comprehensive workflow and methodology for parasitic extraction A comprehensive workflow and methodology for parasitic extraction Radoslav Prahov, Achim Graupner Abstract: In this paper is presented, analysed and assessed a design automation methodology of a tool employed

More information

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION

On-chip ESD protection for Internet of Things ON-CHIP PROTECTION ON-CHIP PROTECTION for electrostatic discharge (ESD) and electrical overstress (EOS) On-chip ESD protection for Internet of Things Cisco predicts that more than 50 Billion devices will be connected to

More information

DRC and LVS checks using Cadence Virtuoso Version 2.0

DRC and LVS checks using Cadence Virtuoso Version 2.0 DRC and LVS checks using Cadence Virtuoso Version 2.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies

Design Solutions in Foundry Environment. by Michael Rubin Agilent Technologies Design Solutions in Foundry Environment by Michael Rubin Agilent Technologies Presenter: Michael Rubin RFIC Engineer, R&D, Agilent Technologies former EDA Engineering Manager Agilent assignee at Chartered

More information

Test Match Partnering Specialist Boundary-Scan with ICT

Test Match Partnering Specialist Boundary-Scan with ICT Test Match Partnering Specialist Boundary-Scan with ICT Introduction: Boosting ICT to Improve Testability Popular ICT platforms from well-known vendors can perform a wide variety of analogue, digital,

More information

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology

Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology Conference paper Latch-up immune ESD Protection Clamp for High Voltage optimized on TSMC BCD technology TSMC Open Innovation Platform 2011 Applications like motor control, power management and conversion,

More information

Schematic/Design Creation

Schematic/Design Creation Schematic/Design Creation D A T A S H E E T MAJOR BENEFITS: Xpedition xdx Designer is a complete solution for design creation, definition, and reuse. Overview Creating competitive products is about more

More information

DRC and LVS checks using Cadence Virtuoso Version 3.0

DRC and LVS checks using Cadence Virtuoso Version 3.0 DRC and LVS checks using Cadence Virtuoso Version 3.0 Start virtuoso l l Open a virtuoso session in the directory which contains the required cds.lib and lib.def files. Command : virtuoso & Open the layout

More information

Adding Curves to an Orthogonal World

Adding Curves to an Orthogonal World Adding Curves to an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Paul Double July 2018 Traditional IC Design BREXIT AHOY! Designers & tool developers have lived in a orthogonal

More information

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis

Digital Timing. Using TimingDesigner to Generate SDC Timing Constraints. EMA TimingDesigner The industry s most accurate static timing analysis EMA TimingDesigner The industry s most accurate static timing analysis Digital Timing Learn about: Using TimingDesigner to generate SDC for development of FPGA designs Using TimingDesigner to establish

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

Boost FPGA Prototype Productivity by 10x

Boost FPGA Prototype Productivity by 10x Boost FPGA Prototype Productivity by 10x Introduction Modern ASICs have become massively complex due in part to the growing adoption of system on chip (SoC) development methodologies. With this growing

More information

Digital Design Methodology (Revisited) Design Methodology: Big Picture

Digital Design Methodology (Revisited) Design Methodology: Big Picture Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA CS 150 Fall 2005 - Lec #25 Design Methodology

More information

2. TOPOLOGICAL PATTERN ANALYSIS

2. TOPOLOGICAL PATTERN ANALYSIS Methodology for analyzing and quantifying design style changes and complexity using topological patterns Jason P. Cain a, Ya-Chieh Lai b, Frank Gennari b, Jason Sweis b a Advanced Micro Devices, 7171 Southwest

More information

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications

Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications Designing into a Foundry Low Power High-k Metal Gate 28nm CMOS Solution for High-Performance Analog Mixed Signal and Mobile Applications A Collaborative White Paper by RAMBUS and GLOBALFOUNDRIES W h i

More information

Deliver robust products at reduced cost by linking model-driven software testing to quality management.

Deliver robust products at reduced cost by linking model-driven software testing to quality management. Quality management White paper September 2009 Deliver robust products at reduced cost by linking model-driven software testing to quality management. Page 2 Contents 2 Closing the productivity gap between

More information

Is Power State Table Golden?

Is Power State Table Golden? Is Power State Table Golden? Harsha Vardhan #1, Ankush Bagotra #2, Neha Bajaj #3 # Synopsys India Pvt. Ltd Bangalore, India 1 dhv@synopsys.com 2 ankushb@synopsys.com 3 nehab@synopsys.com Abstract: Independent

More information

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES

DIGITAL DESIGN TECHNOLOGY & TECHNIQUES DIGITAL DESIGN TECHNOLOGY & TECHNIQUES CAD for ASIC Design 1 INTEGRATED CIRCUITS (IC) An integrated circuit (IC) consists complex electronic circuitries and their interconnections. William Shockley et

More information

An Overview of Standard Cell Based Digital VLSI Design

An Overview of Standard Cell Based Digital VLSI Design An Overview of Standard Cell Based Digital VLSI Design With examples taken from the implementation of the 36-core AsAP1 chip and the 1000-core KiloCore chip Zhiyi Yu, Tinoosh Mohsenin, Aaron Stillmaker,

More information

Digital Design Methodology

Digital Design Methodology Digital Design Methodology Prof. Soo-Ik Chae Digital System Designs and Practices Using Verilog HDL and FPGAs @ 2008, John Wiley 1-1 Digital Design Methodology (Added) Design Methodology Design Specification

More information

What s New in PADS

What s New in PADS What s New in PADS 2007.4 Copyright Mentor Graphics Corporation 2008 All Rights Reserved. Mentor Graphics, Board Station, ViewDraw, Falcon Framework, IdeaStation, ICX and Tau are registered trademarks

More information

Lab #2: Building the System

Lab #2: Building the System Lab #: Building the System Goal: In this second lab exercise, you will design and build a minimal microprocessor system, consisting of the processor, an EPROM chip for the program, necessary logic chips

More information

PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors

PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors PNS-FCR: Flexible Charge Recycling Dynamic Circuit Technique for Low- Power Microprocessors N.Lakshmi Tejaswani Devi Department of Electronics & Communication Engineering Sanketika Vidya Parishad Engineering

More information

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS)

ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) ESE 570 Cadence Lab Assignment 2: Introduction to Spectre, Manual Layout Drawing and Post Layout Simulation (PLS) Objective Part A: To become acquainted with Spectre (or HSpice) by simulating an inverter,

More information

Design Methodologies. Full-Custom Design

Design Methodologies. Full-Custom Design Design Methodologies Design styles Full-custom design Standard-cell design Programmable logic Gate arrays and field-programmable gate arrays (FPGAs) Sea of gates System-on-a-chip (embedded cores) Design

More information