Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook

Size: px
Start display at page:

Download "Calibre Fundamentals: Writing DRC/LVS Rules. Student Workbook"

Transcription

1 DRC/LVS Rules Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject to license terms. No part of this document may be photocopied, reproduced, translated, distributed, disclosed or provided to third parties without the prior written consent of Mentor Graphics.

2 Layout Verification Process Flow for nmlvs Task: Invoke Calibre nmlvs Task: Review the Extraction Report Task: Review the LVS Reports LVS Report Components LVS Summary Report Task: Invoke RVE to View nmlvs Results The LVS RVE Window Task: Cross-Probe LVS Results Lab Exercise Module 2: Basic SVRF Job Statements Objectives The SVRF Language Basic Calibre DRC/LVS Job Statements Part I Task: Specify Rule File Comments Task: Specify Layout Information Task: Include Another SVRF File Pre-Processor Directives Task: Use Conditional Execution Rule File Variables Using a Rule File Variable in a Comment Basic Calibre DRC/LVS Job Statements Part II Task: Specify Output Information Task: Specify Output Format II

3 Task: Grouping Rule Checks Task: Execute Selected Rule Checks Task: Disable Selected Rule Checks Task: Limit Result Count Task: Exclude Specified Cell(s) Task: Specify nmdrc Options Basic Calibre DRC/LVS Job Statements Part III Task: Specify Source Information Task: Specify Output Information Task: Specify nmlvs Options Lab Exercise Module 3: Rule File Implementation Basics Objectives Working With Foundry Rules Rule File Basics Rule File Header Comments Identify Drawn Layers Layer Mapping Example of Using the LAYER MAP Statement When Drawn Layers Are Not Enough Derived Layers Creating Derived Layers With Boolean Operations The SVRF Boolean Operations The Boolean AND Operation III

4 The Boolean OR Operation The Boolean NOT Operation The Boolean XOR Operation Summary Lab Exercise Module 4: Dimensional Check Basics Objectives Implementing Dimensional Checks What Is A Scalable Process? Using the MOSIS SCMOS Process Working With Foundry Rules Spec Example Metal1 Rules Dimensional Checks Calibre Dimensional Checks Geometric Data Types Edges Must Face Each Other Which Edge Pairs Will Be Checked? DRC Constraints The PRECISION Statement Calibre Edge-Based Dimensional Checks Dimensional Check Metrics Calibre Rule Checks Rule Check Step 1 Define the "Skeleton" Rule Check Step 2 Code the Dimensional Check IV

5 Rule Check Step 3 Add User Comments Coding the Minimum Spacing Rule Coding the Contact Enclosure Rule Contact Enclosure Rule Output Using A Layer Set Review: Layers and Layer Operations The COPY Layer Operation References Lab Exercise Module 5: Using Dimensional Check Secondary Keywords Objectives Review Which Edges Are Checked? Edge Breaking A Case for Checking Abutting Edges The ABUT Keyword Another Case for Abutting Edges The SINGULAR Keyword Modifying Rule Output The SCMOS Poly Rules Enhancing a Poly Rule Implementing the Well Rules Coding the Well Minimum Width Rule Coding the Well Minimum Spacing Rule The CONNECTED Keyword V

6 Summary Lab Exercise Module 6: Additional Dimensional Check Keywords Objectives Review Using Dimensional Check Keywords Implementing the Poly2 Capacitor Rules A Case for Global Derived Layers Review Local Versus Global Derived Layers A Case for Checking Overlapping Edges The OVERLAP Keyword More Secondary Keywords The Metal2 Rules Extending the Via Enclosure Rules The OUTSIDE ALSO and INSIDE ALSO Keywords Measuring Notches and Spaces The PROJECTING Keyword PROJECTING Examples Intersection Re-Visited The INTERSECTING ONLY Keyword Other Dimensional Check Keywords Summary Lab Exercise Module 7: Additional SCMOS Layer Operations Objectives VI

7 Review Dimensional Checks Metal1 Rules Revisited The WITH WIDTH Layer Operation Implementing the Wide Metal1 Rule Poly Contact Rules Revisited Implementing the Contact Size Rule First Attempt Implementing the Contact Size Rule Second Attempt The RECTANGLE Operation Via Rules Implementing the Via Size Rule First Attempt Implementing the Via Size Rule Second Attempt The OUTSIDE Operation Revisiting the Via Enclosure Rules The INSIDE Operation Finding Bad Nwells The ENCLOSE Operation Poly Rules Implementing the Gate Extension Rule First Attempt The Touch Operation Implementing the Gate Extension Rule Second Attempt The EDGE Layer Operations The EDGE Layer Operations Examples The CONVEX EDGE Operation The EXPAND EDGE Operation Summary VII

8 Lab Exercise Module 8: More Layer Operations Objectives Additional Layer Operations The INTERACT Layer Operation The SIZE Layer Operation Basic Form The SIZE Layer Operation OVERLAP ONLY The SIZE Layer Operation UNDEROVER/OVERUNDER The SIZE Layer Operation INSIDE OF/OUTSIDE OF SIZE Example The GROW and SHRINK Layer Operations GROW and SHRINK Examples The LENGTH Layer Operation The WITH EDGE Layer Operation An Interesting Question Layer Constructors and Layer Selectors Layer of Origin The Impact of Layer of Origin The AREA Layer Operation The DONUT Layer Operation The HOLES Layer Operation The HOLES Layer Operation Examples The NET Layer Operation The EXTENT DRAWN Layer Operation VIII

9 The DENSITY Layer Operation DENSITY Example The RECTANGLES Layer Operation RECTANGLES Example Summary Lab Exercise Module 9: Antenna Rules Objectives Antenna Effect Antenna Theory Antenna Rule Basics Building the Layout Connectivity Model The CONNECT Operation The NET AREA RATIO Statement Basic Form Example of NET AREA RATIO Basic Form The NET AREA RATIO Statement RDB Keyword Example of NET AREA RATIO RDB The NET AREA RATIO Statement BY LAYER Keyword Example of NET AREA RATIO RDB BY LAYER Improving Antenna Accuracy The DRC INCREMENTAL CONNECT Statement The NET AREA RATIO Statement ACCUMULATE Keyword Example of NET AREA RATIO ACCUMULATE NAR Accumulation Example IX

10 Summary Lab Exercise Module 10: Rule File Optimization Objectives Achieving Rule File Optimization Writing Efficient SVRF Code How Calibre Maximizes Capacity Layer Operation Scheduling An Example Reading Operation Information From the Log File Capturing the Log File Calibre DRC-H Layer Statistics First Line Calibre DRC-H Layer Statistics Second Line Calibre DRC-H Layer Statistics Third Line What are LVHEAP Statistics? Layer Generation Naming Conventions Layer Generation Scheduling The LogView Utility Using the LogView Utility Concurrency Concurrency Example Data Reduction Data Reduction Example Using Alternative Approaches Using Alternative Approaches: Example X

11 Using Secondary Keywords Using Secondary Keywords Using A Different Operation and Secondary Keywords Using CONNECT Help Calibre Develop Good Hierarchy Layout Base Layer General Optimization Tip Summary Lab Exercise Module 11: Properties and Equation-Based DRC Objectives Back to Basics Layer Properties Example Automatic Property Creation Property Operations DFM PROPERTY Basic Syntax Property Expressions Property Expression Operators Property Expression Math Functions Selected Measurement Functions DFM FUNCTION Basic Syntax Creating Layer Output With Properties DFM RDB Basic Syntax DFM COPY Basic Syntax XI

12 DFM PROPERTY Layer Clustering DFM PROPERTY Cluster Syntax Layer Cluster Example # Measurement Functions for Secondary Layers Layer Cluster Example # Layer Cluster Example # Equation-Based DRC Traditional DRC Example Traditional DRC Implementation Traditional DRC Limitations Equation-Based DRC Example Equation-Based DRC Implementation Lab Exercise Module 12: Working with Layout Data Objectives The Problem Relating Layout Coordinates to Constraint Values Layout Magnify Fixing A Rule File/Layout Precision Mismatch Using LAYOUT PATH Options Summary Lab Exercise Module 13: Establishing Connectivity Objectives XII

13 Connectivity Extraction Terminology Calibre Connectivity Extraction Process Nets How Calibre Establishes Connectivity The CONNECT Operation The SCMOS Connectivity Rules What Are Soft Connections? Soft Connection Example The SCONNECT Operation SCONNECT Example The LVS SOFTCHK Statement Locating Soft Connections With the SCONNECT Operation LVS REPORT OPTION S Implementing the SCMOS Well Connectivity Rules The LVS ABORT ON SOFTCHK Statement Initial Correspondence Points Summary Lab Exercise Module 14: Working With Text Objectives Working With Layout Text Finding and Using Layout Text The TEXT LAYER Statement The PORT LAYER TEXT Statement XIII

14 PORT LAYER TEXT Examples The TEXT DEPTH STATEMENT Hierarchical Text Example How to Attach Text Labels to Target Objects The ATTACH Statement Example of Explicit Label Attachment Example of Implicit Label Attachment The LABEL ORDER Statement Example of Free Label Attachment Text Attachment Priority Inserting Text Objects Into the Database The LAYOUT TEXT Statement The TEXT Statement The Calibre Text Process Summary Lab Exercise Module 15: Devices and Device Properties Objectives From Layout to Netlist Device Elements The DEVICE Statement Device Recognition A Recap The Resistor Definition Built-In Devices XIV

15 PMOS Transistor Definition Capacitor Definition Device Subtypes Auxiliary Layers Specifying Additional Pin Information Built-In Device Properties The Built-In Language Built-In Language Structure Built-In Language Functions Built-In Language Units The Adjustable Resistor Property Computation Debugging Property Routines Debug Output for Adjustable Resistor Using TRACE PROPERTY Invoking Tcl Procedures Using Layer Properties Custom Devices A Custom Device Based On A Built-In Device A Fully-Custom Device Summary Lab Exercise Module 16: Macros and Tcl Verification Format Objectives Defining Macros XV

16 Calling Macros Tcl Verification Format (TVF) Overview TVF Benefits SVRF and Compile-Time TVF Comparison Compile-Time TVF Example Runtime TVF TVF FUNCTION Syntax Layer Functions Available to TVF FUNCTION Layer Operations Available to TVF FUNCTION TVF Layer Operation Syntax TVF FUNCTION Example: Check Layer Usage in a Design Lab Exercise XVI

Tessent TestKompress & Adv. Topics. Student Workbook

Tessent TestKompress & Adv. Topics. Student Workbook Student Workbook 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Excel Programming with VBA (Macro Programming) 24 hours Getting Started

Excel Programming with VBA (Macro Programming) 24 hours Getting Started Excel Programming with VBA (Macro Programming) 24 hours Getting Started Introducing Visual Basic for Applications Displaying the Developer Tab in the Ribbon Recording a Macro Saving a Macro-Enabled Workbook

More information

Programmable Electrical Rule Checking (PERC)

Programmable Electrical Rule Checking (PERC) AppNote 10655 Programmable Electrical Rule Checking (PERC) By: Dina Medhat Last Modified: 28-Oct-2008 Copyright Mentor Graphics Corporation 1995-2008. All rights reserved. This document contains information

More information

Layout and Layout Verification. of an Inverter Circuit

Layout and Layout Verification. of an Inverter Circuit Layout and Layout Verification of an Inverter Circuit Santa Clara University Department of Electrical Engineering By Piyush Panwar Under Guidance of Dr Samiha Mourad Date of Last Revision: August 7, 2010

More information

Laker Custom Layout Automation System

Laker Custom Layout Automation System The Laker Custom Layout offers powerful solutions for analog, mixed-signal, memory, and custom digital IC design that address key pain points in the layout process. The Laker layout system provides an

More information

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT

FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT FACULTY OF ENGINEERING MULTIMEDIA UNIVERSITY LAB SHEET DIGITAL INTEGRATED CIRCUIT DIC1: Schematic Design Entry, Simulation & Verification DIC2: Schematic Driven Layout Drawing (SDL) Design Rule Check (DRC)

More information

Tanner Analog Front End Flow. Student Workbook

Tanner Analog Front End Flow. Student Workbook Student Workbook 2016 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial

Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Cadence Virtuoso Schematic Design and Circuit Simulation Tutorial Introduction This tutorial is an introduction to schematic capture and circuit simulation for ENGN1600 using Cadence Virtuoso. These courses

More information

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015

EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 EE 330 Laboratory 3 Layout, DRC, and LVS Fall 2015 Contents Objective:... 2 Part 1 Creating a layout... 2 1.1 Run DRC Early and Often... 2 1.2 Create N active and connect the transistors... 3 1.3 Vias...

More information

EE 330 Laboratory 3 Layout, DRC, and LVS

EE 330 Laboratory 3 Layout, DRC, and LVS EE 330 Laboratory 3 Layout, DRC, and LVS Spring 2018 Contents Objective:... 2 Part 1 creating a layout... 2 1.1 Run DRC... 2 1.2 Stick Diagram to Physical Layer... 3 1.3 Bulk Connections... 3 1.4 Pins...

More information

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog

VERILOG QUICKSTART. Second Edition. A Practical Guide to Simulation and Synthesis in Verilog VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition VERILOG QUICKSTART A Practical Guide to Simulation and Synthesis in Verilog Second Edition James M. Lee SEVA Technologies

More information

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim

EE434 ASIC & Digital Systems. From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim EE434 ASIC & Digital Systems From Layout to SPICE Simulation (Virtuoso, Calibre, HSpice) Spring 2017 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Preparation for Lab2 Download the following file into your working

More information

Capital. Capital Logic Aero. v Student Workbook

Capital. Capital Logic Aero. v Student Workbook Capital v2018.1 Student Workbook 2019 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction

VLSI Lab Tutorial 3. Virtuoso Layout Editing Introduction VLSI Lab Tutorial 3 Virtuoso Layout Editing Introduction 1.0 Introduction The purpose of this lab tutorial is to guide you through the design process in creating a custom IC layout for your CMOS inverter

More information

CMOS Design Lab Manual

CMOS Design Lab Manual CMOS Design Lab Manual Developed By University Program Team CoreEl Technologies (I) Pvt. Ltd. 1 Objective Objective of this lab is to learn the Mentor Graphics HEP2 tools as well learn the flow of the

More information

Capital. Capital Logic Interactive. v Student Workbook

Capital. Capital Logic Interactive. v Student Workbook Capital Capital Logic Interactive v2016.1 Student Workbook Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation

More information

Capital. Capital Logic Generative. v Student Workbook

Capital. Capital Logic Generative. v Student Workbook Capital Capital Logic Generative v2016.1 Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics

More information

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION

TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION TUTORIAL II ECE 555 / 755 Updated on September 11 th 2006 CADENCE LAYOUT AND PARASITIC EXTRACTION After finishing a schematic of your design (Tutorial-I), the next step is creating masks which are for

More information

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc.

Physical Verification Challenges and Solution for 45nm and Beyond. Haifang Liao Celesda Design Solutions, Inc. Physical Verification Challenges and Solution for 45nm and Beyond Haifang Liao Celesda Design Solutions, Inc. Nanometer Design Era Semiconductor feature size has been shrunk 500x in 40 years Space for

More information

About the Authors... iii Introduction... xvii. Chapter 1: System Software... 1

About the Authors... iii Introduction... xvii. Chapter 1: System Software... 1 Table of Contents About the Authors... iii Introduction... xvii Chapter 1: System Software... 1 1.1 Concept of System Software... 2 Types of Software Programs... 2 Software Programs and the Computing Machine...

More information

SystemVerilog UVM. Student Workbook

SystemVerilog UVM. Student Workbook Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Laker 3 Custom Design Tools

Laker 3 Custom Design Tools Datasheet Laker 3 Custom Design Tools Laker 3 Custom Design Tools The Laker 3 Custom Design Tools form a unified front-to-back environment for custom circuit design and layout. They deliver a complete

More information

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation

UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Lab #2: Layout and Simulation NTU IC541CA 1 Assumed Knowledge This lab assumes use of the Electric

More information

Expert Layout Editor. Technical Description

Expert Layout Editor. Technical Description Expert Layout Editor Technical Description Agenda Expert Layout Editor Overview General Layout Editing Features Technology File Setup Multi-user Project Library Setup Advanced Programmable Features Schematic

More information

Virtuoso Layout Editor

Virtuoso Layout Editor This tutorial will cover the basic steps involved in using the Cadence layout editor called Virtuoso, extracting layout, and running simulation on the layout. The inverter layout is used as an example

More information

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes

One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes One-Shot DRC within a Fine-Grain Physical Verification Platform for advanced process nodes Last updated: May, 2017 To meet the challenge of nano-scale, deep sub-wavelength processes, innovative One -Shot

More information

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05

PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 PDK-Based Analog/Mixed-Signal/RF Design Flow 11/17/05 Silvaco s What is a PDK? Which people build, use, and support PDKs? How do analog/mixed-signal/rf engineers use a PDK to design ICs? What is an analog/mixed-signal/rf

More information

Student Workbook. Mentor Graphics Corporation All rights reserved.

Student Workbook. Mentor Graphics Corporation All rights reserved. Eldo Platform Basic Student Workbook Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide.

More information can be found in the Cadence manuals Virtuoso Layout Editor User Guide and Cadence Hierarchy Editor User Guide. Chapter 6 Building with Layout This chapter consists of two parts. The first describes the generation of layout views and the second deals with the various tools used for verifying the layout, both physical

More information

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask

Composite Layout CS/EE N-type from the top. N-type Transistor. Diffusion Mask. Polysilicon Mask Composite Layout CS/EE 6710 Introduction to Layout Inverter Layout Example Layout Design Rules Drawing the mask layers that will be used by the fabrication folks to make the devices Very different from

More information

This course is designed for web developers that want to learn HTML5, CSS3, JavaScript and jquery.

This course is designed for web developers that want to learn HTML5, CSS3, JavaScript and jquery. HTML5/CSS3/JavaScript Programming Course Summary Description This class is designed for students that have experience with basic HTML concepts that wish to learn about HTML Version 5, Cascading Style Sheets

More information

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics

Improve Reliability With Accurate Voltage-Aware DRC. Matthew Hogan, Mentor Graphics Improve Reliability With Accurate Voltage-Aware DRC Matthew Hogan, Mentor Graphics BACKGROUND Consumer expectations for longer device operations at sustained performance levels means designing for reliability

More information

Design rule illustrations for the AMI C5N process can be found at:

Design rule illustrations for the AMI C5N process can be found at: Cadence Tutorial B: Layout, DRC, Extraction, and LVS Created for the MSU VLSI program by Professor A. Mason and the AMSaC lab group. Revised by C Young & Waqar A Qureshi -FS08 Document Contents Introduction

More information

Guide to the CSE 577 Lab and Cad tools

Guide to the CSE 577 Lab and Cad tools Guide to the CSE 577 Lab and Cad tools 1. Introduction The objective of this tutorial is to give you an overview to (1) setup the Cadence and Synopsys hspice tools for your account in IST 218 Lab, (2)

More information

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE

DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE DEVELOPMENT OF PARAMETERIZED CELL OF SPIRAL INDUCTOR USING SKILL LANGUAGE Vladimir Emilov Grozdanov 1, Diana Ivanova Pukneva 1, Marin Hristov Hristov 2 1 Smartcom, 7 th km, Tzarigradsko Chausee Blvd, 1784

More information

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout

Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Digital Integrated Circuits (83-313) Lecture 2: Technology and Standard Cell Layout Semester B, 2016-17 Lecturer: Dr. Adam Teman TAs: Itamar Levi, Robert Giterman 26 March 2017 Disclaimer: This course

More information

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title

Verilog HDL. A Guide to Digital Design and Synthesis. Samir Palnitkar. SunSoft Press A Prentice Hall Title Verilog HDL A Guide to Digital Design and Synthesis Samir Palnitkar SunSoft Press A Prentice Hall Title Table of Contents About the Author Foreword Preface Acknowledgments v xxxi xxxiii xxxvii Part 1:

More information

UNIVERSITY OF WATERLOO

UNIVERSITY OF WATERLOO UNIVERSITY OF WATERLOO UW ASIC DESIGN TEAM: Cadence Tutorial Description: Part I: Layout & DRC of a CMOS inverter. Part II: Extraction & LVS of a CMOS inverter. Part III: Post-Layout Simulation. The Cadence

More information

Hipex Full-Chip Parasitic Extraction

Hipex Full-Chip Parasitic Extraction What is Hipex? products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from hierarchical layouts into hierarchical transistor-level netlists using nanometer process technology

More information

Process technology and introduction to physical

Process technology and introduction to physical Neuromorphic Engineering II Lab 3, Spring 2014 1 Lab 3 March 10, 2014 Process technology and introduction to physical layout Today you will start to learn to use the Virtuoso layout editor XL which is

More information

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL)

EE115C Digital Electronic Circuits. Tutorial 4: Schematic-driven Layout (Virtuoso XL) EE115C Digital Electronic Circuits Tutorial 4: Schematic-driven Layout (Virtuoso XL) This tutorial will demonstrate schematic-driven layout on the example of a 2-input NAND gate. Simple Layout (that won

More information

Comprehensive Place-and-Route Platform Olympus-SoC

Comprehensive Place-and-Route Platform Olympus-SoC Comprehensive Place-and-Route Platform Olympus-SoC Digital IC Design D A T A S H E E T BENEFITS: Olympus-SoC is a comprehensive netlist-to-gdsii physical design implementation platform. Solving Advanced

More information

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial

EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial EEC 116 Fall 2011 Lab #1 Cadence Schematic Capture and Layout Tutorial Dept. of Electrical and Computer Engineering University of California, Davis September 26, 2011 Reading: Rabaey Chapters 1, 2, A,

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Introduction Mapping for Schematic and Layout Connectivity Generate Layout from Schematic Connectivity Some Useful Features

More information

Mentor Graphics VLSI CAD Tutorials

Mentor Graphics VLSI CAD Tutorials VLSI Design Flow Using Mentor-Graphics Tools Mentor Graphics VLSI CAD Tutorials School of Engineering Santa Clara University Santa Clara, CA 95053 At the Design Center, School of Engineering, of Santa

More information

Fundamentals of the Java Programming Language

Fundamentals of the Java Programming Language Fundamentals of the Java Programming Language Student Guide SL-110 REV E D61798GC10 Edition 1.0 2009 D62399 Copyright 2006, 2009, Oracle and/or its affiliates. All rights reserved. Disclaimer This document

More information

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status

HIPEX Full-Chip Parasitic Extraction. Summer 2004 Status HIPEX Full-Chip Parasitic Extraction Summer 2004 Status What is HIPEX? HIPEX Full-Chip Parasitic Extraction products perform 3D-accurate and 2D-fast extraction of parasitic capacitors and resistors from

More information

Library Part Creation in the Xpedition Flow. Student Workbook

Library Part Creation in the Xpedition Flow. Student Workbook Student Workbook Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics

Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics Reduce Verification Complexity In Low/Multi-Power Designs. Matthew Hogan, Mentor Graphics BACKGROUND The increasing demand for highly reliable products covers many industries, all process nodes, and almost

More information

Guardian NET Layout Netlist Extractor

Guardian NET Layout Netlist Extractor Outline What is Guardian NET Key Features Running Extraction Setup Panel Layout Annotation Layout Text Extraction Node Naming Electric Rule Checking (ERC) Layout Hierarchy Definition Hierarchy Checker

More information

CITY UNIVERSITY OF NEW YORK. Creating a New Project in IRBNet. i. After logging in, click Create New Project on left side of the page.

CITY UNIVERSITY OF NEW YORK. Creating a New Project in IRBNet. i. After logging in, click Create New Project on left side of the page. CITY UNIVERSITY OF NEW YORK Creating a New Project in IRBNet i. After logging in, click Create New Project on left side of the page. ii. Enter the title of the project, the principle investigator s (PI)

More information

Introduction. Assessment Test. Part I The Programmer s Exam 1

Introduction. Assessment Test. Part I The Programmer s Exam 1 4276FM.fm Page ix Thursday, October 2, 2003 11:22 AM at a Glance Introduction Assessment Test xix xxv Part I The Programmer s Exam 1 Chapter 1 Language Fundamentals 3 Chapter 2 Operators and Assignments

More information

CMOS Process Flow. Layout CAD Tools

CMOS Process Flow. Layout CAD Tools CMOS Process Flow See supplementary power point file for animated CMOS process flow (see class ece410 website and/or* http://www.multimedia.vt.edu/ee5545/): This file should be viewed as a slide show It

More information

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC

VERILOG QUICKSTART. James M. Lee Cadence Design Systems, Inc. SPRINGER SCIENCE+BUSINESS MEDIA, LLC VERILOG QUICKSTART VERILOG QUICKSTART by James M. Lee Cadence Design Systems, Inc. ~. " SPRINGER SCIENCE+BUSINESS MEDIA, LLC ISBN 978-1-4613-7801-3 ISBN 978-1-4615-6113-2 (ebook) DOI 10.1007/978-1-4615-6113-2

More information

"Charting the Course... MOC C: Developing SQL Databases. Course Summary

Charting the Course... MOC C: Developing SQL Databases. Course Summary Course Summary Description This five-day instructor-led course provides students with the knowledge and skills to develop a Microsoft SQL database. The course focuses on teaching individuals how to use

More information

Lab 2. Standard Cell layout.

Lab 2. Standard Cell layout. Lab 2. Standard Cell layout. The purpose of this lab is to demonstrate CMOS-standard cell design. Use the lab instructions and the cadence manual (http://www.es.lth.se/ugradcourses/cadsys/cadence.html)

More information

Student Workbook Mentor Graphics Corporation All rights reserved.

Student Workbook Mentor Graphics Corporation All rights reserved. xdm Library Tools Student Workbook 2014 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors

More information

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs

A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs A Method to Implement Layout Versus Schematic Check in Integrated Circuits Design Programs Radu Gabriel Bozomitu, Daniela Ionescu Telecommunications Department Faculty of Electronics and Telecommunications,

More information

"Charting the Course to Your Success!" MOC A Developing High-performance Applications using Microsoft Windows HPC Server 2008

Charting the Course to Your Success! MOC A Developing High-performance Applications using Microsoft Windows HPC Server 2008 Description Course Summary This course provides students with the knowledge and skills to develop high-performance computing (HPC) applications for Microsoft. Students learn about the product Microsoft,

More information

CITY UNIVERSITY OF NEW YORK. i. Visit:

CITY UNIVERSITY OF NEW YORK. i. Visit: CITY UNIVERSITY OF NEW YORK I. ACCESSING IRB NET (New Registration) i. Visit: https://www.irbnet.org/release/index.html ii. New users: Click on New Registration in the top right corner iii. Fill-out the

More information

Object-Oriented Programming and Data Structures

Object-Oriented Programming and Data Structures Java Methods A & AB Object-Oriented Programming and Data Structures Maria Litvin Phillips Academy, Andover, Massachusetts Gary Litvin Skylight Software, Inc. Skylight Publishing Andover, Massachusetts

More information

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics

Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Cadence Tutorial 2: Layout, DRC/LVS and Circuit Simulation with Extracted Parasitics Introduction This tutorial describes how to generate a mask layout in the Cadence Virtuoso Layout Editor. Use of DIVA

More information

Eldo Platform Advanced Statistical Analysis. Student Workbook

Eldo Platform Advanced Statistical Analysis. Student Workbook Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Design Architect Student Workbook Mentor Graphics Corporation All rights reserved.

Design Architect Student Workbook Mentor Graphics Corporation All rights reserved. Design Architect Student Workbook 1981-2009 Mentor Graphics Corporation All rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation. The original recipient

More information

Office 2016 Excel Basics 01 Video/Class Project #13 Excel Basics 1: Excel Grid, Formatting, Formulas, Cell References, Page Setup (O16-13)

Office 2016 Excel Basics 01 Video/Class Project #13 Excel Basics 1: Excel Grid, Formatting, Formulas, Cell References, Page Setup (O16-13) Office 2016 Excel Basics 01 Video/Class Project #13 Excel Basics 1: Excel Grid, Formatting, Formulas, Cell References, Page Setup (O16-13) Topics Covered in Video: 1) Excel file = Workbook, not Document

More information

Preface... (vii) CHAPTER 1 INTRODUCTION TO COMPUTERS

Preface... (vii) CHAPTER 1 INTRODUCTION TO COMPUTERS Contents Preface... (vii) CHAPTER 1 INTRODUCTION TO COMPUTERS 1.1. INTRODUCTION TO COMPUTERS... 1 1.2. HISTORY OF C & C++... 3 1.3. DESIGN, DEVELOPMENT AND EXECUTION OF A PROGRAM... 3 1.4 TESTING OF PROGRAMS...

More information

Tessent MemoryBIST Shell. Student Workbook

Tessent MemoryBIST Shell. Student Workbook Student Workbook 2018 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Contents. iii Copyright 1998 Sun Microsystems, Inc. All Rights Reserved. Enterprise Services August 1998, Revision B

Contents. iii Copyright 1998 Sun Microsystems, Inc. All Rights Reserved. Enterprise Services August 1998, Revision B Contents About the Course...xv Course Overview... xvi Course Map... xvii Module-by-Module Overview... xviii Course Objectives... xxii Skills Gained by Module... xxiii Guidelines for Module Pacing... xxiv

More information

Contents. Excel 2013 Workbook... 1 Starting Excel The Startup Screen... 3 The Excel Screen... 4 Quick Access Toolbar...

Contents. Excel 2013 Workbook... 1 Starting Excel The Startup Screen... 3 The Excel Screen... 4 Quick Access Toolbar... Contents How to Use this Workbook... i BSBITU202A Create and use spreadsheets... ii BSBITU304A Produce spreadsheets... ix Files Used in this Workbook... xvi How to Download Exercise Files... xviii Office

More information

Contents. Preface xvii Acknowledgments. CHAPTER 1 Introduction to Parallel Computing 1. CHAPTER 2 Parallel Programming Platforms 11

Contents. Preface xvii Acknowledgments. CHAPTER 1 Introduction to Parallel Computing 1. CHAPTER 2 Parallel Programming Platforms 11 Preface xvii Acknowledgments xix CHAPTER 1 Introduction to Parallel Computing 1 1.1 Motivating Parallelism 2 1.1.1 The Computational Power Argument from Transistors to FLOPS 2 1.1.2 The Memory/Disk Speed

More information

Introduction to Layout design

Introduction to Layout design Introduction to Layout design Note: some figures are taken from Ref. B. Razavi, Design of Analog CMOS integrated circuits, Mc Graw-Hill, 001, and MOSIS web site: http://www.mosis.org/ 1 Introduction to

More information

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03

Addressable Test Chip Technology for IC Design and Manufacturing. Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 Addressable Test Chip Technology for IC Design and Manufacturing Dr. David Ouyang CEO, Semitronix Corporation Professor, Zhejiang University 2014/03 IC Design & Manufacturing Trends Both logic and memory

More information

Murach s Beginning Java with Eclipse

Murach s Beginning Java with Eclipse Murach s Beginning Java with Eclipse Introduction xv Section 1 Get started right Chapter 1 An introduction to Java programming 3 Chapter 2 How to start writing Java code 33 Chapter 3 How to use classes

More information

Digital VLSI Design with Verilog

Digital VLSI Design with Verilog John Williams Digital VLSI Design with Verilog A Textbook from Silicon Valley Technical Institute Foreword by Don Thomas Sprin ger Contents Introduction xix 1 Course Description xix 2 Using this Book xx

More information

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper

Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper Visual Design Flows for Faster Debug and Time to Market FlowTracer White Paper 2560 Mission College Blvd., Suite 130 Santa Clara, CA 95054 (408) 492-0940 Introduction As System-on-Chip (SoC) designs have

More information

SHSAT Review Class Week 3-10/21/2016

SHSAT Review Class Week 3-10/21/2016 SHSAT Review Class Week 3-10/21/2016 Week Two Agenda 1. Going over HW (Test 2) 2. Review of Geometry - Practice set 3. Questions before we leave Test 2 Questions? Ask about any questions you were confused

More information

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits

EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits EE 330 Spring 2018 Laboratory 2: Basic Boolean Circuits Contents Objective:... 2 Part 1: Introduction... 2 Part 2 Simulation of a CMOS Inverter... 3 Part 2.1 Attaching technology information... 3 Part

More information

Oracle BPM 10g R3 Programming 1 Essentials

Oracle BPM 10g R3 Programming 1 Essentials Oracle BPM 10g R3 Programming 1 Essentials Volume I Student Guide D55633GC10 Edition 1.0 March 2009 D58927 Authors Jill Moritz Kenny Somerville Technical Contributors and Reviewers Fernando Dobladez Carolina

More information

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015

EMBEDDED SYSTEMS: Jonathan W. Valvano INTRODUCTION TO THE MSP432 MICROCONTROLLER. Volume 1 First Edition June 2015 EMBEDDED SYSTEMS: INTRODUCTION TO THE MSP432 MICROCONTROLLER Volume 1 First Edition June 2015 Jonathan W. Valvano ii Jonathan Valvano First edition 3 rd printing June 2015 The true engineering experience

More information

Constraint Manager for xpcb Layout. Table of Contents

Constraint Manager for xpcb Layout. Table of Contents Table of Contents 2014 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

High Quality 4D Development. David Adams

High Quality 4D Development. David Adams David Adams Introduction 1 Chapter 1 - About This Training 3 What To Expect... 3 Course Organization... 3 Course Contents... 3 About The Materials... 5 Conventions Used in This Manual... 7 Phones & Pagers...

More information

A Web-Based Introduction

A Web-Based Introduction A Web-Based Introduction to Programming Essential Algorithms, Syntax, and Control Structures Using PHP, HTML, and MySQL Third Edition Mike O'Kane Carolina Academic Press Durham, North Carolina Contents

More information

Contents. Acknowledgments Introduction

Contents. Acknowledgments Introduction Contents Acknowledgments Introduction iv xiii 1: Communicating with the System 1 The System 2 IBM i Objects 2 Subsystems 3 Types of Jobs 3 Control Language (CL) 4 System Values 5 Licensed Programs 6 User

More information

Putting Curves in an Orthogonal World

Putting Curves in an Orthogonal World Putting Curves in an Orthogonal World Extending the EDA Flow to Support Integrated Photonics Masahiro Shiina October 2018 Traditional IC Design Designers & tool developers have lived in a orthogonal world

More information

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity

MEMS Pro v5.1 Layout Tutorial Physical Design Mask complexity MEMS Pro v5.1 Layout Tutorial 1 Physical Design Mask complexity MEMS masks are complex with curvilinear geometries Verification of manufacturing design rules is important Automatic generation of mask layout

More information

EECS 627, Lab Assignment 3

EECS 627, Lab Assignment 3 EECS 627, Lab Assignment 3 1 Introduction In this lab assignment, we will use Cadence ICFB and Calibre to become familiar with the process of DRC/LVS checks on a design. So far, we have placed and routed

More information

This document is a preview generated by EVS

This document is a preview generated by EVS INTERNATIONAL STANDARD ISO 11783-6 Third edition 2014-07-01 Tractors and machinery for agriculture and forestry Serial control and communications data network Part 6: Virtual terminal Tracteurs et machines

More information

PrimeTime: Introduction to Static Timing Analysis Workshop

PrimeTime: Introduction to Static Timing Analysis Workshop i-1 PrimeTime: Introduction to Static Timing Analysis Workshop Synopsys Customer Education Services 2002 Synopsys, Inc. All Rights Reserved PrimeTime: Introduction to Static 34000-000-S16 Timing Analysis

More information

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated

2011 OpenDFM Overview: A Customer Perspective. Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 2011 OpenDFM Overview: A Customer Perspective Fred Valente Distinguished Member Technical Staff Texas Instruments Incorporated 1 Key Players Texas Instruments Fred Valente Lisa Fisher Si2 -- Jake Buurma

More information

Acknowledgments Introduction. Chapter 1: Introduction to Access 2007 VBA 1. The Visual Basic Editor 18. Testing Phase 24

Acknowledgments Introduction. Chapter 1: Introduction to Access 2007 VBA 1. The Visual Basic Editor 18. Testing Phase 24 Acknowledgments Introduction Chapter 1: Introduction to Access 2007 VBA 1 What Is Access 2007 VBA? 1 What s New in Access 2007 VBA? 2 Access 2007 VBA Programming 101 3 Requirements-Gathering Phase 3 Design

More information

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2)

CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) CPE/EE 427, CPE 527, VLSI Design I: Tutorial #2, Schematic Capture, DC Analysis, Transient Analysis (Inverter, NAND2) Joel Wilder, Aleksandar Milenkovic, ECE Dept., The University of Alabama in Huntsville

More information

Oracle Data Integrator: Administration and Development Volume I Student Guide

Oracle Data Integrator: Administration and Development Volume I Student Guide Oracle Data Integrator: Administration and Development Volume I Student Guide D48459GC30 Edition 3.0 December 2007 D53463 Authors Laura Hofman Miquel FX Nicolas Technical Contributor and Reviewer Sharath

More information

Table of Contents COPYRIGHTED MATERIAL. Introduction Book I: Excel Basics Chapter 1: The Excel 2013 User Experience...

Table of Contents COPYRIGHTED MATERIAL. Introduction Book I: Excel Basics Chapter 1: The Excel 2013 User Experience... Table of Contents Introduction... 1 About This Book...1 Foolish Assumptions...2 How This Book Is Organized...3 Book I: Excel Basics...3 Book II: Worksheet Design...3 Book III: Formulas and Functions...4

More information

COE 561 Digital System Design & Synthesis Introduction

COE 561 Digital System Design & Synthesis Introduction 1 COE 561 Digital System Design & Synthesis Introduction Dr. Aiman H. El-Maleh Computer Engineering Department King Fahd University of Petroleum & Minerals Outline Course Topics Microelectronics Design

More information

"Charting the Course... MOC A Developing Data Access Solutions with Microsoft Visual Studio Course Summary

Charting the Course... MOC A Developing Data Access Solutions with Microsoft Visual Studio Course Summary Description Course Summary In this course, experienced developers who know the basics of data access (CRUD) in Windows client and Web application environments will learn to optimize their designs and develop

More information

"Charting the Course... MOC C: Querying Data with Transact-SQL. Course Summary

Charting the Course... MOC C: Querying Data with Transact-SQL. Course Summary Course Summary Description This course is designed to introduce students to Transact-SQL. It is designed in such a way that the first three days can be taught as a course to students requiring the knowledge

More information

Contents Introduction 1

Contents Introduction 1 SELF-STUDY iii Introduction 1 Course Purpose... 1 Course Goals...1 Exercises... 2 Scenario-Based Learning... 3 Multimedia Overview... 3 Assessment... 3 Hardware and Software Requirements... 4 Chapter 1

More information

MPI: A Message-Passing Interface Standard

MPI: A Message-Passing Interface Standard MPI: A Message-Passing Interface Standard Version 2.1 Message Passing Interface Forum June 23, 2008 Contents Acknowledgments xvl1 1 Introduction to MPI 1 1.1 Overview and Goals 1 1.2 Background of MPI-1.0

More information

Vivado Design Suite User Guide

Vivado Design Suite User Guide Vivado Design Suite User Guide Design Flows Overview Notice of Disclaimer The information disclosed to you hereunder (the Materials ) is provided solely for the selection and use of Xilinx products. To

More information

An Object Oriented Programming with C

An Object Oriented Programming with C An Object Oriented Programming with C By Tanmay Kasbe Dr. Ravi Singh Pippal IDEA PUBLISHING WWW.ideapublishing.in i Publishing-in-support-of, IDEA PUBLISHING Block- 9b, Transit Flats, Hudco Place Extension

More information