Stochastics and the Phenomenon of Line-Edge Roughness

Size: px
Start display at page:

Download "Stochastics and the Phenomenon of Line-Edge Roughness"

Transcription

1 Stochastics and the Phenomenon of Line-Edge Roughness Chris Mack February 27, 2017 Tutorial talk at the SPIE Advanced Lithography Symposium, San Jose, California

2 What s so Hard about Roughness? Roughness is Hard to Measure SEM images have systematic and random errors The statistics of roughness is tricky Roughness is Hard to Understand Think with a random, correlated mindset Some physics is not well understood Roughness is Hard to Reduce Is there a better resist? Does smoothing work? What are the ultimate limits? 1

3 Randomness in Lithography Photon count PAG positions Absorption/acid generation Polymer chain length Blocking position Reaction-diffusion Dissolution Photon Absorption Ionization e - e - e - PAG Acid 2

4 The Importance of Correlations White noise: uncorrelated, each random event is independent Photon shot noise, absorption, chemical concentration, acid generation Produces a flat power spectral density (PSD) Correlating mechanisms: random events that are not independent Secondary electron generation, reaction-diffusion, development front propagation Lowers (smooths) the PSD on length scales below the correlation length (i.e., high frequency roughness) 3

5 What Gives the PSD its Shape? Uncorrelated white noise PSD (nm 3 ) 10 1 Correlation Length Acid diffusion Frequency (1/nm) 4

6 The Power Spectral Density PSD(0) Correlation Length x Slope roughness exponent H Variance = area under the curve (Derived from other three parameters) 5

7 Frequency of Roughness Are these edges different? 6

8 Frequency of Roughness Knowing the roughness standard deviation is not enough x = 10 Dx H = 0.5 x = 10 Dx H = 1.0 x = 100 Dx H = 0.5 The 3s roughness is the same for all of these x = 0.1 Dx H = 0.5 L = 512 Dx, s = fixed 7

9 Finite-Length Features Within-feature roughness s LWR L s LWR s CDU L LCDU: Feature-to-feature variation of mean CD L 8

10 Conservation of Roughness For all features of the same CD and pitch, for any length L, s 2 CDU L s 2 L s 2 LWR LWR Different line lengths partition the total roughness into within-feature and feature-to-feature variation PSD(0) s CDU 1 L x L 2 L 2 PSD(0) / 2 H s 1 x LWR 9

11 Conservation of Roughness We need to measure s( ), PSD(0), and x to understand roughness for device features 10

12 Measuring Roughness is Hard We need to determine the PSD parameters to understand how roughness impacts device features Measuring noise tends to be noisy SEM images contain both random and systematic errors that bias our results Random noise in the image produces white noise Systematic field variations (intensity, distortion) increase the apparent low-frequency roughness 11

13 SEM Images are Noisy Can you pick out the edges from this linescan? 12

14 SEM Images are Noisy Average Linescan = average of column of pixels 13

15 To Achieve Robust Edge Detection In general, we must apply averaging (e.g., a Gaussian filter) in X and sometimes Y to make our edge detection robust No Filter 7X2 Gaussian Filter Threshold Edge Detection Problem: Averaging to reduce SEM noise also smoothes away the roughness we are trying to see (the feature roughness) 14

16 Filtering Changes the Measured PSD No Filter 7X2 Gaussian Filter 15

17 A Better Way The Analytical Linescan Model (ALM) is a physics-based prediction of a linescan given a wafer feature Run in reverse, the ALM can be fit to an experimental linescan to estimate the edge positions We can achieve robust noise rejection and edge detection without any filtering We still must remove SEM errors after edge detection (random and systematic) Thursday, 2:00pm: Chris Mack & Ben Bunday, Analytical linescan model for SEM metrology 16

18 Removing SEM Errors SEM Random Image Noise Caused by electron shot noise (white noise) Resist shrinkage limits allowable electron dose Noise interacts with linescan edge slope to produce edge uncertainty Right and left edges have different linescan slopes due to scan effects 17

19 Before and After Noise Subtraction 18

20 Before and After Noise Subtraction 19

21 SEM Field Distortion Even sub-nanometer amounts of SEM field distortion can cause significant changes in the PSD Define distortion based on max error in the corner Trapezoid Distortion Pincushion Distortion 20

22 Result: Increase in Low-Frequency LER and PPR Wednesday, 8:20am Barton Lane, et al., Global minimization line-edge roughness analysis of top down SEM images 21

23 Background Intensity Variation Result: increase in low-frequency LWR, LER, and PPR 22

24 Randomness in Lithography Photon count PAG positions Absorption/acid generation Polymer chain length Blocking position Reaction-diffusion Dissolution Photon Absorption Ionization e - e - e - PAG Acid 23

25 What is the EUV Image? Here is a typical aerial image from an EUV scanner or is it? 18nm HP 24

26 What is the EUV Image? 25

27 How to Reduce Roughness Increase Photon Efficiency Reduce Resist Information Loss Magic 26

28 Increase Photon Efficiency We maximize the number of photons absorbed at the bottom of the resist when (reasonable goal: ) Due to pattern collapse, D max ~ Pitch min New resist scaling law: 27

29 Reduce Resist Information Loss You can t add information to the wafer from a bottle of photoresist (DSA aside) Resist can throw information away and add noise Preserve information from the absorbed image: High resist contrast, low resist blur (correlation length) Add very little resist noise: High concentrations (non-random positions) Large integration volume (correlation length) The optimum blur/integration/correlation length scales with feature size (called RLS trade-off) 28

30 Using Magic Magic resists are those that don t obey the laws of statistics The Applicable Laws of Statistics Increasing the number of independent events N reduces the relative noise as 1/ Increasing the number of correlated events does nothing No subsequent process step can ever decrease PSD(0) that existed from the previous step Two examples: Post-process smoothing and EUV resist acid amplifiers or quantum yield 29

31 The Fundamental Smoothing Constraint The zero-frequency PSD cannot be lowered by post-processing (including etch) PSD(0) = constant Why? This frequency component represents uncertainty in the mean CD of the feature To lower PSD(0), the smoothing process must increase the mean CD of too-narrow lines, and decrease the mean CD of too-wide lines, in order to reduce the variation of linewidth 30

32 What Can Smoothing Do? Post-Processing (including etch) should be characterized as changes in PSD model parameters (PSD(0), x, H) Increasing the correlation length is very effective at reducing within-feature variation Increasing the roughness exponent also works Since LCDU (feature-to-feature variation) is only a function of PSD(0), smoothing can t help Lowering PSD(0) is magic 31

33 Improving EUV Resists with Magic One proposal to reduce roughness without increasing exposure dose is to increase the quantum yield (number of acids per absorbed photon) above 1 But this does not work: all the acids generated from one absorbed photon are correlated! Two correlated acids behave statistically like one You can t amplify your way out of a signal to noise problem The optimum quantum yield is 1 32

34 Conclusions We can t improve roughness without first understanding stochastics better Be mindful of correlated statistics Build first-principle models We can t understand roughness better without first measuring it better It s not just about 3s, it s about PSD(0) and correlation length Roughness is hard, so let s work together 33

35 Thanks to Eric Panning and Ken Goldberg for taking a chance on me John Biafore for amazingly fruitful discussions My many coauthors at this year s Symposium 34

36 Thank You Fractilia, LLC Austin, Texas

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write

Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Preliminary Investigation of Shot Noise, Dose, and Focus Latitude for E-Beam Direct Write Alan Brodie, Shinichi Kojima, Mark McCord, Luca Grella, Thomas Gubiotti, Chris Bevis KLA-Tencor, Milpitas, CA 94035

More information

Using the Normalized Image Log-Slope, part 5: Development

Using the Normalized Image Log-Slope, part 5: Development T h e L i t h o g r a p h y E x p e r t (February ) Using the Normalized Image Log-Slope, part 5: Development Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas This recent series of Lithography

More information

SuMMIT Application Notes:

SuMMIT Application Notes: SuMMIT Application Notes: #2 Tools for large data sets EUV Technology, SuMMIT Software Division Large data sets support high precision SuMMIT allows for batch processing of large data sets for such studies

More information

Impact of mask line roughness in EUV lithography

Impact of mask line roughness in EUV lithography Impact of mask line roughness in EUV lithography Alessandro Vaglio Pret a,b, Roel Gronheid a, Trey Graves c, Mark D. Smith c, John Biafore c a IMEC, Kapeldreef 75, B-3001 Leuven, Belgium b Katholieke Universiteit

More information

2013 International Workshop on EUV Lithography Hanyang University

2013 International Workshop on EUV Lithography Hanyang University Agenda What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result Conclusion What is photon shot noise? Attenuated PSM Stochastic simulation condition Simulation result

More information

Optimization of Photolithography Process Using Simulation

Optimization of Photolithography Process Using Simulation Optimization of Photolithography Process Using Simulation Introduction The progress in semiconductor technology towards even smaller device geometries demands continuous refinements of photolithography

More information

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology

Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Characterization of a Chemically Amplified Photoresist for Simulation using a Modified Poor Man s DRM Methodology Nickhil Jakatdar 1, Xinhui Niu, Costas J. Spanos Dept. of Electrical Engineering and Computer

More information

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba

Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Challenges in Manufacturing of optical and EUV Photomasks Martin Sczyrba Advanced Mask Technology Center Dresden, Germany Senior Member of Technical Staff Advanced Mask Technology Center Dresden Key Facts

More information

Computational Lithography Turning Physics into Yield

Computational Lithography Turning Physics into Yield Computational Lithography Turning Physics into Yield Tim Fühner Fraunhofer IISB Erlangen, Germany SEMICON Europa, TechArena, 11.10.2012 Lithography Modeling 2 SEMICON Europa, TechArena, 11.10.2012 Computational

More information

Photoresist Qualification using Scatterometry CD

Photoresist Qualification using Scatterometry CD Photoresist Qualification using Scatterometry CD Roie Volkovich *a, Yosef Avrahamov a, Guy Cohen a, Patricia Fallon b, Wenyan Yin b, a KLA-Tencor Corporation Israel, Halavian St., P.O.Box 143, Migdal Haemek

More information

Frontiers in CD-SEM metrology

Frontiers in CD-SEM metrology Frontiers in CD-SEM metrology abeam Technologies, Inc. Dr. Sergey Babin, sb@abeamtech.com Hayward, CA, USA CD-SEM in semiconductor CD-SEM is an indispensable part of the semiconductor industry In volume

More information

Deterministic microlens diffuser for Lambertian scatter

Deterministic microlens diffuser for Lambertian scatter Deterministic microlens diffuser for Lambertian scatter Tasso R. M. Sales, Donald J. Schertler, and Stephen Chakmakjian RPC Photonics, Inc. 330 Clay Road, Rochester, New York 14623 Phone: 585-272-2840

More information

A New Fast Resist Model: the Gaussian LPM

A New Fast Resist Model: the Gaussian LPM A New Fast Resist Model: the Gaussian LPM Chris A. Mack Lithoguru.com, 65 Watchhill Rd, Austin, TX 7873 Abstract BACKGROUN: Resist models for full-chip lithography simulation demand a difficult compromise

More information

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo

Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography. Rui Guo Analytic Derivation and Minimization of Line Edge Roughness in Electron-beam Lithography by Rui Guo A dissertation submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements

More information

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27

Mask patterning challenges for EUV N7 and beyond. Date: 2018/02/27 Mask patterning challenges for EUV N7 and beyond Date: 2018/02/27 Outline EUV tech drivers and mask roadmap N7 mask process status Mask and mask process characterization Process improvements toward N5

More information

Investigation of interactions between metrology and lithography with a CD SEM simulator

Investigation of interactions between metrology and lithography with a CD SEM simulator Investigation of interactions between metrology and lithography with a CD SEM simulator Mark D. Smith, Chao Fang, John J, Biafore, Alessandro Vaglio Pret, Stewart A. Robertson KLA-Tencor Corp. ABSTRACT

More information

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography

Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Reflectivity metrics for optimization of anti-reflection coatings on wafers with topography Mark D. Smith, Trey Graves, John Biafore, and Stewart Robertson KLA-Tencor Corp, 8834 N. Capital of Texas Hwy,

More information

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group

LER Degradation vs. EUV Resist Thickness Report for Technical Working Group LER Degradation vs. EUV Resist Thickness Report for Technical Working Group Brian Cardineau, 1 William Earley, 1 Tomohisa Fujisawa, 2 Ken Maruyama, 3 Makato Shimizu, 2 Shalini Sharma, 3 Karen Petrillo,

More information

Hybrid hotspot detection using regression model and lithography simulation

Hybrid hotspot detection using regression model and lithography simulation Hybrid hotspot detection using regression model and lithography simulation Taiki Kimura 1a, Tetsuaki Matsunawa a, Shigeki Nojima a and David Z. Pan b a Toshiba Corp. Semiconductor & Storage Products Company,

More information

EUV Lithography and Overlay Control

EUV Lithography and Overlay Control YMS Magazine DECEMBER 2017 EUV Lithography and Overlay Control Efi Megged, Mark Wylie and Cathy Perry-Sullivan L A-Tencor Corporation One of the key parameters in IC fabrication is overlay the accuracy

More information

The Death of the Aerial Image

The Death of the Aerial Image Tutor50.doc: Version 5/9/05 T h e L i t h o g r a p h y E x p e r t (August 005) The Death of the Aerial Image Chris A. Mack, KLA-Tencor, FINLE Division, Austin, Texas The aerial image is, quite literally,

More information

WORCESTER POLYTECHNIC INSTITUTE

WORCESTER POLYTECHNIC INSTITUTE WORCESTER POLYTECHNIC INSTITUTE MECHANICAL ENGINEERING DEPARTMENT Optical Metrology and NDT ME-593L, C 2018 Introduction: Wave Optics January 2018 Wave optics: coherence Temporal coherence Review interference

More information

Modeling and Estimation of FPN Components in CMOS Image Sensors

Modeling and Estimation of FPN Components in CMOS Image Sensors Modeling and Estimation of FPN Components in CMOS Image Sensors Abbas El Gamal a, Boyd Fowler a,haomin b,xinqiaoliu a a Information Systems Laboratory, Stanford University Stanford, CA 945 USA b Fudan

More information

CSPLAT for Photolithography Simulation

CSPLAT for Photolithography Simulation CSPLAT for Photolithography Simulation Guoxiong Wang wanggx@vlsi.zju.edu.cn Institute of VLSI Design, Zhejiang University 2001.8.31 Outline Photolithographic system Resolution enhancement technologies

More information

Image Restoration and Reconstruction

Image Restoration and Reconstruction Image Restoration and Reconstruction Image restoration Objective process to improve an image Recover an image by using a priori knowledge of degradation phenomenon Exemplified by removal of blur by deblurring

More information

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres

Advanced multi-patterning and hybrid lithography techniques. Fedor G Pikus, J. Andres Torres Advanced multi-patterning and hybrid lithography techniques Fedor G Pikus, J. Andres Torres Outline Need for advanced patterning technologies Multipatterning (MP) technologies What is multipatterning?

More information

Enhanced Lumped Parameter Model for Photolithography

Enhanced Lumped Parameter Model for Photolithography Enhanced Lumped Parameter Model for Photolithography Chris A. Mack FINLE Technologies Austin, TX 78716 Abstract Enhancements to the lumped parameter model for semiconductor optical lithography are introduced.

More information

EUV telecentricity and shadowing errors impact on process margins

EUV telecentricity and shadowing errors impact on process margins EUV telecentricity and shadowing errors impact on process margins D. Civay 1*, E. Hosler 1, V. Chauhan 1, T. Guha Neogi 1, L. Smith 1, D. Pritchard 1 1 GLOBALFOUNDRIES, Malta, NY, USA ABSTRACT Monte Carlo

More information

Low k 1 Logic Design using Gridded Design Rules

Low k 1 Logic Design using Gridded Design Rules SPIE Advanced Lithography Conference 2008 6925-68 Tela Innovations, ASML 1 Low k 1 Logic Design using Gridded Design Rules Michael C. Smayling a, Hua-yu Liu b, Lynn Cai b a Tela Innovations, Inc., 655

More information

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning

New plasma processes for improved dimensional control and LWR for a 28nm gate patterning New plasma processes for improved dimensional control and LWR for a 28nm gate patterning Onintza Ros a, Erwine Pargon b, Sebatien Barnola c, Pascal Gouraud a, Marc Fouchier b a STMicroelectronics, 85 rue

More information

Image Restoration and Reconstruction

Image Restoration and Reconstruction Image Restoration and Reconstruction Image restoration Objective process to improve an image, as opposed to the subjective process of image enhancement Enhancement uses heuristics to improve the image

More information

Bringing Patterned Media to Production with Value Added Metrology

Bringing Patterned Media to Production with Value Added Metrology Bringing Patterned Media to Production with Value Added Dean Dawson, Andrew S. Lopez Diskcon /IDEMA Conference, Session 6 September 24th, 2009 Overview Introduction AFM Scan Modes New Nanotrench Pattern

More information

Modeling Custom Surface Roughness with LucidShape 2D Scatter Curve BSDF Material

Modeling Custom Surface Roughness with LucidShape 2D Scatter Curve BSDF Material WHITE PAPER Modeling Custom Surface Roughness with LucidShape 2D Scatter Curve BSDF Material Author Andreas Bielawny, Ph.D. CAE Synopsys, Inc. Abstract LucidShape accurately simulates how light interacts

More information

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data

Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Automated aerial image based CD metrology initiated by pattern marking with photomask layout data Grant Davis 1, Sun Young Choi 2, Eui Hee Chung 2, Arne Seyfarth 3, Hans van Doornmalen 3, Eric Poortinga

More information

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating

Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Investigation of the foot-exposure impact in hyper-na immersion lithography when using thin anti-reflective coating Darron Jurajda b, Enrico Tenaglia a, Jonathan Jeauneau b, Danilo De Simone a, Zhimin

More information

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION

ABSTRACT. KEYWORDS: AIMS, Bossung plot, linewidth versus defocus, quartz height, EAPSM, repair verification, MeRiT, Litho simulation INTRODUCTION A novel method for utilizing AIMS to evaluate mask repair and quantify over-repair or under-repair condition Doug Uzzel 1, Anthony Garetto 2, Krister Magnusson 2, Gilles Tabbone 2 1 Photronics, Inc., 10136

More information

Iterative procedure for in-situ EUV optical testing with an incoherent source

Iterative procedure for in-situ EUV optical testing with an incoherent source APS/123-QED Iterative procedure for in-situ EUV optical testing with an incoherent source Ryan Miyakawa and Patrick Naulleau Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Avideh Zakhor Dept.

More information

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr.

A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 2401 Brewer Dr. A New Method to Characterize Conformality of BARC Coatings Runhui Huang, Heping Wang, Anwei Qin Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541 Abstract In the semiconductor manufacturing industry,

More information

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography

Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Three-dimensional imaging of 30-nm nanospheres using immersion interferometric lithography Jianming Zhou *, Yongfa Fan, Bruce W. Smith Microelectronics Engineering Department, Rochester Institute of Technology,

More information

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech

PRODUCT OVERVIEW. Rupert Perera President, EUV Tech PRODUCT OVERVIEW Rupert Perera President, EUV Tech EUV TECH OVERVIEW Started in 1997, EUV Tech has pioneered the development of EUV metrology tools: EUV Reflectometer o Measures the reflectivity and uniformity

More information

Overlay control methodology comparison: field-by-field and high-order methods

Overlay control methodology comparison: field-by-field and high-order methods Overlay control methodology comparison: field-by-field and high-order methods Chun-Yen Huang a, Chui-Fu Chiu a, Wen-Bin Wu a, Chiang-Lin Shih a, Chin-Chou Kevin Huang* b, Healthy Huang c, DongSub Choi

More information

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers

Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Model-Based MPC Enables Curvilinear ILT using Either VSB or Multi-Beam Mask Writers Leo (Linyong) Pang, Yutesu Takatsukasa, Daisuke Hara, Michael Pomerantsev, Bo Su, Aki Fujimura D2S Patented Technology

More information

Photoresist Modulation Curves

Photoresist Modulation Curves Photoresist Modulation Curves Anatoly Bourov, Yongfa Fan, Frank C. Cropanese, Bruce W. Smith Rochester nstitute of Technology, 82 Lomb Memorial Dr., Rochester, NY 14623 ABSTRACT Photoresist modulation

More information

Redefining Critical in Critical Dimension Metrology

Redefining Critical in Critical Dimension Metrology Redefining Critical in Critical Dimension Metrology Farid Askary a and Neal T. Sullivan b a MetroBoost, 1750 Halford Avenue, Suite 218, Santa Clara, CA 95051 b Schlumberger Semiconductor Solutions, 45

More information

Digital Image Processing. Prof. P. K. Biswas. Department of Electronic & Electrical Communication Engineering

Digital Image Processing. Prof. P. K. Biswas. Department of Electronic & Electrical Communication Engineering Digital Image Processing Prof. P. K. Biswas Department of Electronic & Electrical Communication Engineering Indian Institute of Technology, Kharagpur Lecture - 21 Image Enhancement Frequency Domain Processing

More information

Generate Digital Elevation Models Using Laser Altimetry (LIDAR) Data

Generate Digital Elevation Models Using Laser Altimetry (LIDAR) Data Generate Digital Elevation Models Using Laser Altimetry (LIDAR) Data Literature Survey Christopher Weed October 2000 Abstract Laser altimetry (LIDAR) data must be processed to generate a digital elevation

More information

Coupling of surface roughness to the performance of computer-generated holograms

Coupling of surface roughness to the performance of computer-generated holograms Coupling of surface roughness to the performance of computer-generated holograms Ping Zhou* and Jim Burge College of Optical Sciences, University of Arizona, Tucson, Arizona 85721, USA *Corresponding author:

More information

CHAPTER 3 IMAGE ENHANCEMENT IN THE SPATIAL DOMAIN

CHAPTER 3 IMAGE ENHANCEMENT IN THE SPATIAL DOMAIN CHAPTER 3 IMAGE ENHANCEMENT IN THE SPATIAL DOMAIN CHAPTER 3: IMAGE ENHANCEMENT IN THE SPATIAL DOMAIN Principal objective: to process an image so that the result is more suitable than the original image

More information

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns

The impact of resist model on mask 3D simulation accuracy beyond. 40nm node memory patterns The impact of resist model on mask D simulation accuracy beyond nm node memory patterns Kao-Tun Chen a, Shin-Shing Yeh a, Ya-Hsuan Hsieh a, Jun-Cheng Nelson Lai a, Stewart A. Robertson b, John J. Biafore

More information

Machine Learning in the Wild. Dealing with Messy Data. Rajmonda S. Caceres. SDS 293 Smith College October 30, 2017

Machine Learning in the Wild. Dealing with Messy Data. Rajmonda S. Caceres. SDS 293 Smith College October 30, 2017 Machine Learning in the Wild Dealing with Messy Data Rajmonda S. Caceres SDS 293 Smith College October 30, 2017 Analytical Chain: From Data to Actions Data Collection Data Cleaning/ Preparation Analysis

More information

Defect Repair for EUVL Mask Blanks

Defect Repair for EUVL Mask Blanks Defect Repair for EUVL Mask Blanks A.Barty, S.Hau-Riege, P.B.Mirkarimi, D.G.Stearns, H.Chapman, D.Sweeney Lawrence Livermore National Laboratory M.Clift Sandia National Laboratory E.Gullikson, M.Yi Lawrence

More information

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling

Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Lithography Process Control Using Scatterometry Metrology and Semi-Physical Modeling Kevin Lensing* a, Jason Cain a, Amogh Prabhu a, Alok Vaid a, Robert Chong a, Richard Good a, Bruno LaFontaine b, and

More information

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS

LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS LITHOGRAPHY CHALLENGES FOR LEADING EDGE 3D PACKAGING APPLICATIONS Warren W. Flack, Manish Ranjan, Gareth Kenyon, Robert Hsieh Ultratech, Inc. 3050 Zanker Road, San Jose, CA 95134 USA mranjan@ultratech.com

More information

Scanner Parameter Estimation Using Bilevel Scans of Star Charts

Scanner Parameter Estimation Using Bilevel Scans of Star Charts ICDAR, Seattle WA September Scanner Parameter Estimation Using Bilevel Scans of Star Charts Elisa H. Barney Smith Electrical and Computer Engineering Department Boise State University, Boise, Idaho 8375

More information

Image Processing Lecture 10

Image Processing Lecture 10 Image Restoration Image restoration attempts to reconstruct or recover an image that has been degraded by a degradation phenomenon. Thus, restoration techniques are oriented toward modeling the degradation

More information

Motivation. Intensity Levels

Motivation. Intensity Levels Motivation Image Intensity and Point Operations Dr. Edmund Lam Department of Electrical and Electronic Engineering The University of Hong ong A digital image is a matrix of numbers, each corresponding

More information

Motivation. Gray Levels

Motivation. Gray Levels Motivation Image Intensity and Point Operations Dr. Edmund Lam Department of Electrical and Electronic Engineering The University of Hong ong A digital image is a matrix of numbers, each corresponding

More information

C E N T E R A T H O U S T O N S C H O O L of H E A L T H I N F O R M A T I O N S C I E N C E S. Image Operations I

C E N T E R A T H O U S T O N S C H O O L of H E A L T H I N F O R M A T I O N S C I E N C E S. Image Operations I T H E U N I V E R S I T Y of T E X A S H E A L T H S C I E N C E C E N T E R A T H O U S T O N S C H O O L of H E A L T H I N F O R M A T I O N S C I E N C E S Image Operations I For students of HI 5323

More information

Lithography Simulation

Lithography Simulation Stepper Laser Proximity e-beam Lithography Simulation Enable next generation products and faster development by computational design and process optimization www.genisys-gmbh.com Source Condenser Mask

More information

Locating ego-centers in depth for hippocampal place cells

Locating ego-centers in depth for hippocampal place cells 204 5th Joint Symposium on Neural Computation Proceedings UCSD (1998) Locating ego-centers in depth for hippocampal place cells Kechen Zhang,' Terrence J. Sejeowski112 & Bruce L. ~cnau~hton~ 'Howard Hughes

More information

Optical Topography Measurement of Patterned Wafers

Optical Topography Measurement of Patterned Wafers Optical Topography Measurement of Patterned Wafers Xavier Colonna de Lega and Peter de Groot Zygo Corporation, Laurel Brook Road, Middlefield CT 6455, USA xcolonna@zygo.com Abstract. We model the measurement

More information

v2.4.0 Release Notes Release Notes Advancing the Standard in SEM Inspection 2018 GenISys GmbH 12-Nov-18 10:12

v2.4.0 Release Notes Release Notes Advancing the Standard in SEM Inspection 2018 GenISys GmbH 12-Nov-18 10:12 v2.4.0 Release Notes Release Notes Advancing the Standard in SEM Inspection 12-Nov-18 10:12 ProSEM Release Notes All rights reserved. No parts of this work may be reproduced in any form or by any means

More information

Path Tracing part 2. Steve Rotenberg CSE168: Rendering Algorithms UCSD, Spring 2017

Path Tracing part 2. Steve Rotenberg CSE168: Rendering Algorithms UCSD, Spring 2017 Path Tracing part 2 Steve Rotenberg CSE168: Rendering Algorithms UCSD, Spring 2017 Monte Carlo Integration Monte Carlo Integration The rendering (& radiance) equation is an infinitely recursive integral

More information

specular diffuse reflection.

specular diffuse reflection. Lesson 8 Light and Optics The Nature of Light Properties of Light: Reflection Refraction Interference Diffraction Polarization Dispersion and Prisms Total Internal Reflection Huygens s Principle The Nature

More information

GENERAL AUTOMATED FLAW DETECTION SCHEME FOR NDE X-RAY IMAGES

GENERAL AUTOMATED FLAW DETECTION SCHEME FOR NDE X-RAY IMAGES GENERAL AUTOMATED FLAW DETECTION SCHEME FOR NDE X-RAY IMAGES Karl W. Ulmer and John P. Basart Center for Nondestructive Evaluation Department of Electrical and Computer Engineering Iowa State University

More information

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA

Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA Copyright 3 SPIE Refined Measurement of Digital Image Texture Loss Peter D. Burns Burns Digital Imaging Fairport, NY USA ABSTRACT Image texture is the term given to the information-bearing fluctuations

More information

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error

Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Practical approach to modeling e-beam lithographic process from SEM images for minimization of line edge roughness and critical dimension error Rui Guo, Soo-Young Lee, Jin Choi, Sung-Hoon Park, In-Kyun

More information

Digital Image Processing (CS/ECE 545) Lecture 5: Edge Detection (Part 2) & Corner Detection

Digital Image Processing (CS/ECE 545) Lecture 5: Edge Detection (Part 2) & Corner Detection Digital Image Processing (CS/ECE 545) Lecture 5: Edge Detection (Part 2) & Corner Detection Prof Emmanuel Agu Computer Science Dept. Worcester Polytechnic Institute (WPI) Recall: Edge Detection Image processing

More information

Advanced Simulation Techniques for Thick Photoresist Lithography

Advanced Simulation Techniques for Thick Photoresist Lithography SPIE 1997 349-72 Advanced Simulation Techniques for Thick Photoresist Lithography Warren W. Flack, Gary Newman Ultratech Stepper, Inc. San Jose, CA 95134 D. Bernard, J. Rey, Y. Granik, V. Boksha Technology

More information

OPC flare and optical modeling requirements for EUV

OPC flare and optical modeling requirements for EUV OPC flare and optical modeling requirements for EUV Lena Zavyalova, Kevin Lucas, Brian Ward*, Peter Brooker Synopsys, Inc., Austin, TX, USA 78746 *Synopsys assignee to IMEC, Leuven, Belgium B3001 1 Abstract

More information

Effects Of Shadow On Canny Edge Detection through a camera

Effects Of Shadow On Canny Edge Detection through a camera 1523 Effects Of Shadow On Canny Edge Detection through a camera Srajit Mehrotra Shadow causes errors in computer vision as it is difficult to detect objects that are under the influence of shadows. Shadow

More information

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool

SCDI for EUV photomask metrology RESCAN - Reflective EUV Mask Scanning Lensless Imaging Tool EUV Litho Workshop 2017 WIR SCHAFFEN WISSEN HEUTE FÜR MORGEN P. Helfenstein a, I. Mochi a, R. Rajendran a, S. Fernandez a, S. Yoshitake b, Y. Ekinci a a Paul Scherrer Institut, Switzerland b NuFlare Technology

More information

THE SAMPLING TAB. Pixel Samples. Ray Variance Antialiasing. H16 Mantra User Guide

THE SAMPLING TAB. Pixel Samples. Ray Variance Antialiasing. H16 Mantra User Guide THE SAMPLING TAB The Sampling Tab can be found under the Rendering Tab on the Mantra Node. The parameters found on this tab control the amount of sampling performed by Mantra while generating an image.

More information

CS 490: Computer Vision Image Segmentation: Thresholding. Fall 2015 Dr. Michael J. Reale

CS 490: Computer Vision Image Segmentation: Thresholding. Fall 2015 Dr. Michael J. Reale CS 490: Computer Vision Image Segmentation: Thresholding Fall 205 Dr. Michael J. Reale FUNDAMENTALS Introduction Before we talked about edge-based segmentation Now, we will discuss a form of regionbased

More information

XI Signal-to-Noise (SNR)

XI Signal-to-Noise (SNR) XI Signal-to-Noise (SNR) Lecture notes by Assaf Tal n(t) t. Noise. Characterizing Noise Noise is a random signal that gets added to all of our measurements. In D it looks like this: while in D

More information

EE795: Computer Vision and Intelligent Systems

EE795: Computer Vision and Intelligent Systems EE795: Computer Vision and Intelligent Systems Spring 2012 TTh 17:30-18:45 WRI C225 Lecture 04 130131 http://www.ee.unlv.edu/~b1morris/ecg795/ 2 Outline Review Histogram Equalization Image Filtering Linear

More information

Applications of DSA for lithography

Applications of DSA for lithography Applications of DSA for lithography Yoshi Hishiro, Takehiko Naruoka, JSR Micro Inc. Yuusuke Anno JSR Micro NV. Hayato Namai, Fumihiro Toyokawa, Shinya Minegishi, Yuuji Namie, Tomoki Nagai, Kentaro Goto,

More information

Lecture 6: Edge Detection

Lecture 6: Edge Detection #1 Lecture 6: Edge Detection Saad J Bedros sbedros@umn.edu Review From Last Lecture Options for Image Representation Introduced the concept of different representation or transformation Fourier Transform

More information

UNIT - 5 IMAGE ENHANCEMENT IN SPATIAL DOMAIN

UNIT - 5 IMAGE ENHANCEMENT IN SPATIAL DOMAIN UNIT - 5 IMAGE ENHANCEMENT IN SPATIAL DOMAIN Spatial domain methods Spatial domain refers to the image plane itself, and approaches in this category are based on direct manipulation of pixels in an image.

More information

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research

Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology Research SCANNING VOL. 24, 179 185 (2002) Received: October 30, 2001 FAMS, Inc. Accepted with revision: February 15, 2002 Two-Dimensional Simulation and Modeling in Scanning Electron Microscope Imaging and Metrology

More information

Sampling Approaches to Metrology in Semiconductor Manufacturing

Sampling Approaches to Metrology in Semiconductor Manufacturing Sampling Approaches to Metrology in Semiconductor Manufacturing Tyrone Vincent 1 and Broc Stirton 2, Kameshwar Poolla 3 1 Colorado School of Mines, Golden CO 2 GLOBALFOUNDRIES, Austin TX 3 University of

More information

CS334: Digital Imaging and Multimedia Edges and Contours. Ahmed Elgammal Dept. of Computer Science Rutgers University

CS334: Digital Imaging and Multimedia Edges and Contours. Ahmed Elgammal Dept. of Computer Science Rutgers University CS334: Digital Imaging and Multimedia Edges and Contours Ahmed Elgammal Dept. of Computer Science Rutgers University Outlines What makes an edge? Gradient-based edge detection Edge Operators From Edges

More information

Transistor Flaring in Deep Submicron Design Considerations

Transistor Flaring in Deep Submicron Design Considerations Transistor Flaring in Deep Submicron Design Considerations Vipul Singhal, Keshav C.B., Sumanth K.G., P.R. Suresh Abstract - The deep sub-micron regime has broughtup several manufacturing issues which impact

More information

Flatness Compensation Updates/Challenges

Flatness Compensation Updates/Challenges Flatness Compensation Updates/Challenges October 22, 2009 Jae Sohn SEMATECH Inc. 1 Outline Results Overlay Results from Wafer Exposures on Alpha-Demo-Tool (ADT) Analysis on Residual Field Signature Summary

More information

Reducing shot count through Optimization based fracture

Reducing shot count through Optimization based fracture Reducing shot count through Optimization based fracture Timothy Lin a, Emile Sahouria a, Nataraj Akkiraju a, Steffen Schulze b a Mentor Graphics Corporation, 46871 Bayside Parkway, Fremont, CA 94538 b

More information

Use of Shape Deformation to Seamlessly Stitch Historical Document Images

Use of Shape Deformation to Seamlessly Stitch Historical Document Images Use of Shape Deformation to Seamlessly Stitch Historical Document Images Wei Liu Wei Fan Li Chen Jun Sun Satoshi Naoi In China, efforts are being made to preserve historical documents in the form of digital

More information

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations

Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Fast Lithography Simulation under Focus Variations for OPC and Layout Optimizations Peng Yu a, David Z. Pan a and Chris A. Mack a,b a Electrical and Computer Engineering Department, University of Texas

More information

Lab 2 Report. Carlin Gettliffe

Lab 2 Report. Carlin Gettliffe Lab 2 Report Carlin Gettliffe Abstract: In this lab we investigated the wave-particle duality of light. We verified light s wave properties by conducting both a double slit experiment and constructing

More information

Wikipedia - Mysid

Wikipedia - Mysid Wikipedia - Mysid Erik Brynjolfsson, MIT Filtering Edges Corners Feature points Also called interest points, key points, etc. Often described as local features. Szeliski 4.1 Slides from Rick Szeliski,

More information

Lab 2 Report. Carlin Gettliffe

Lab 2 Report. Carlin Gettliffe Lab 2 Report Carlin Gettliffe Abstract: In this lab we investigated the wave-particle duality of light. We verified light s wave properties by conducting both a double slit experiment and constructing

More information

Image Processing

Image Processing Image Processing 159.731 Canny Edge Detection Report Syed Irfanullah, Azeezullah 00297844 Danh Anh Huynh 02136047 1 Canny Edge Detection INTRODUCTION Edges Edges characterize boundaries and are therefore

More information

DENTAL WEAR SURFACE USING 3D PROFILOMETRY

DENTAL WEAR SURFACE USING 3D PROFILOMETRY DENTAL WEAR SURFACE USING 3D PROFILOMETRY Prepared by Ali Mansouri 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2016 NANOVEA

More information

Chapter 35. The Nature of Light and the Laws of Geometric Optics

Chapter 35. The Nature of Light and the Laws of Geometric Optics Chapter 35 The Nature of Light and the Laws of Geometric Optics Introduction to Light Light is basic to almost all life on Earth. Light is a form of electromagnetic radiation. Light represents energy transfer

More information

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia

Lecture 4a. CMOS Fabrication, Layout and Simulation. R. Saleh Dept. of ECE University of British Columbia Lecture 4a CMOS Fabrication, Layout and Simulation R. Saleh Dept. of ECE University of British Columbia res@ece.ubc.ca 1 Fabrication Fabrication is the process used to create devices and wires. Transistors

More information

Stochastic Road Shape Estimation, B. Southall & C. Taylor. Review by: Christopher Rasmussen

Stochastic Road Shape Estimation, B. Southall & C. Taylor. Review by: Christopher Rasmussen Stochastic Road Shape Estimation, B. Southall & C. Taylor Review by: Christopher Rasmussen September 26, 2002 Announcements Readings for next Tuesday: Chapter 14-14.4, 22-22.5 in Forsyth & Ponce Main Contributions

More information

Optical Lithography Modelling with MATLAB

Optical Lithography Modelling with MATLAB Optical Lithography Modelling with MATLAB 2 Laboratory Manual to accompany Fundamental Principles of Optical Lithography, by Chris Mack 2 Optical Lithography Modelling with MATLAB Kevin Berwick Optical

More information

Halftoning and quasi-monte Carlo

Halftoning and quasi-monte Carlo Halftoning and quasi-monte Carlo Ken Hanson CCS-2, Methods for Advanced Scientific Simulations Los Alamos National Laboratory This presentation available at http://www.lanl.gov/home/kmh/ LA-UR-04-1854

More information

Impact of Intensity Edge Map on Segmentation of Noisy Range Images

Impact of Intensity Edge Map on Segmentation of Noisy Range Images Impact of Intensity Edge Map on Segmentation of Noisy Range Images Yan Zhang 1, Yiyong Sun 1, Hamed Sari-Sarraf, Mongi A. Abidi 1 1 IRIS Lab, Dept. of ECE, University of Tennessee, Knoxville, TN 37996-100,

More information

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2002 by the Society of Photo-Optical Instrumentation Engineers. Copyright by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of Optical Microlithography XV, SPIE Vol. 469, pp. 5-37. It is made available as an electronic

More information

Improving the 3D Scan Precision of Laser Triangulation

Improving the 3D Scan Precision of Laser Triangulation Improving the 3D Scan Precision of Laser Triangulation The Principle of Laser Triangulation Triangulation Geometry Example Z Y X Image of Target Object Sensor Image of Laser Line 3D Laser Triangulation

More information